kaddq : in std_logic_vector(7 downto 0);
krdq : in std_logic;
- krddataq : out std_logic_vector(7 downto 0)
+ krddataq : out std_logic_vector(7 downto 0);
+
+ startgen : in std_logic
);
end;
qram : syncram generic map(tech => memtech, abits => 8, dbits => 8)
port map( clk, qaddress, qdatain, qdataout, qenable, qwrite);
-comb : process (r, rst, kstrobe1, kaddress1, kdata1, kready2, m0dataout, m1dataout, kstrobeq1, kdataq1, kaddq, krdq)
+comb : process (r, rst, kstrobe1, kaddress1, kdata1, kready2, m0dataout, m1dataout, kstrobeq1, kdataq1, kaddq, krdq, samp_fact, startgen)
variable v : control_reg;
variable vkready1 : std_logic;
variable verror : std_logic;
-- reset part
- if rst = '0' then
+ if rst = '0' or startgen= '1' then
v.swf := mem0;
v.swb := mem0;
v.mem0state := fill0;