OSDN Git Service

Show whether a bottle has URLs
authornaru <bottle@mikage.to>
Wed, 23 Apr 2003 18:01:03 +0000 (18:01 +0000)
committernaru <bottle@mikage.to>
Wed, 23 Apr 2003 18:01:03 +0000 (18:01 +0000)
bottleclient/LogForm.pas
bottleclient/Logs.pas
bottleclient/MainForm.dfm
bottleclient/MainForm.pas

index a8ce944..0e197c6 100755 (executable)
@@ -118,7 +118,7 @@ type
     procedure ExtractURLs(Script: String; Result: TStrings);
     function GetDefaultFileName(const Name: String; const Ext: String): String;
     function BottleLogTitled(const LogName: String): TBottleLogList;
-    procedure DrawSingleLineScript(const Script: String; Rect: TRect;
+    procedure DrawSingleLineScript(LogItem: TLogItem; Rect: TRect;
       Item: TListItem);
     procedure PreviewStyleChange;
   protected
@@ -150,6 +150,7 @@ const
   IconOpened    = 30;
   IconPlaying   = 31;
   IconSystemLog = 26;
+  IconURL       = 43;
   SubChannel    = 0;
   SubGhost      = 1;
   SubVotes      = 2;
@@ -1059,7 +1060,24 @@ var
   Script: String;
   Ico: TIcon;
   sub, Ex: integer;
+  Bottle: TLogItem;
+  DummyStr: TStringList;
 begin
+  Bottle := SelectedBottleLog.Bottles[Item.Index];
+  if Bottle.HasURL = huUndefined then
+  begin
+    DummyStr := TStringList.Create;
+    try
+      ExtractURLs(Bottle.Script, DummyStr);
+      if DummyStr.Count > 0 then
+        Bottle.HasURL := huYes
+      else
+        Bottle.HasURL := huNo;
+    finally
+      DummyStr.Free;
+    end;
+  end;
+
   // \94w\8ci\8fÁ\8b\8e
   ListView_GetItemRect(lvwLog.Handle, Item.Index, DestRect, LVIR_BOUNDS);
 
@@ -1121,15 +1139,17 @@ begin
   ListView_GetSubItemRect(lvwLog.Handle, Item.Index, SubScript + 1,
     LVIR_BOUNDS, @DestRect);
   Script := Item.SubItems[SubScript];
-  DrawSingleLineScript(Script, DestRect, Item);
+  DrawSingleLineScript(Bottle, DestRect, Item);
 end;
 
-procedure TfrmLog.DrawSingleLineScript(const Script: String;
+procedure TfrmLog.DrawSingleLineScript(LogItem: TLogItem;
   Rect: TRect; Item: TListItem);
 var
   i, x, w: integer;
   UnyuTalking, Synchronized, Spaced: boolean;
   Mark: TSsMarkUpType;
+  Script: String;
+  Ico: TIcon;
   procedure ScopeChange;
   begin
     if (not Spaced) and (Pref.LogListPreviewStyle = psTagStripped) then
@@ -1139,9 +1159,24 @@ var
     end;
   end;
 begin
+  Script := LogItem.Script;
+  x := 3;
+
+  if LogItem.HasURL = huYes then
+  begin
+    Ico := TIcon.Create;
+    try
+      lvwLog.SmallImages.GetIcon(IconURL, Ico);
+      lvwLog.Canvas.Draw(Rect.Left + x, Rect.Top, Ico);
+      Inc(x, 20);
+    finally
+      Ico.Free;
+    end;
+  end;
+
   if Pref.LogListPreviewStyle = psNoColor then
   begin
-    Inc(Rect.Left, 6);
+    Inc(Rect.Left, x);
     Inc(Rect.Top, 2);
     Dec(Rect.Right, 2);
     DrawTextEx(lvwLog.Canvas.Handle, PChar(Script), -1, Rect,
@@ -1152,7 +1187,6 @@ begin
   SsParser.LeaveEscape := Pref.LogListPreviewStyle = psNormal;
   SsParser.InputString := Script;
 
-  x := 6;
   UnyuTalking := false;
   Synchronized := false;
   Spaced := true; // \83^\83O\8fÈ\97ª\95\\8e¦\8e\9e\82É\95s\95K\97v\82É\83X\83R\81[\83v\95Ï\8a·\8e\9e\82Ì\83X\83y\81[\83X\82ð\8bó\82¯\82È\82¢
index 4e443d8..6884ae8 100755 (executable)
@@ -11,6 +11,8 @@ type
   TLogType = (ltBottle, ltSystemLog);
   TLogState = (lsUnopened, lsPlaying, lsOpened);
 
+  THasURL = (huUndefined, huYes, huNo);
+
   TLogItem = class(TPersistent)
   private
     FScript: String;
@@ -22,6 +24,7 @@ type
     FVotes: Integer;
     FAgreements: Integer;
     FState: TLogState;
+    FHasURL: THasURL;
     procedure SetChannel(const Value: String);
     procedure SetLogType(const Value: TLogType);
     procedure SetMID(const Value: String);
@@ -31,6 +34,7 @@ type
     procedure SetVotes(const Value: Integer);
     procedure SetAgreements(const Value: Integer);
     procedure SetState(const Value: TLogState);
+    procedure SetHasURL(const Value: THasURL);
   public
     constructor Create(LogType: TLogType; const MID, Channel, Script,
       Ghost: String; LogTime: TDateTime); overload;
@@ -44,6 +48,7 @@ type
     property Votes: Integer read FVotes write SetVotes;
     property Agrees: Integer read FAgreements write SetAgreements;
     property State: TLogState read FState write SetState;
+    property HasURL: THasURL read FHasURL write SetHasURL;
     procedure Assign(Source: TPersistent); override;
   end;
 
@@ -255,6 +260,11 @@ begin
   self.Assign(Source);
 end;
 
+procedure TLogItem.SetHasURL(const Value: THasURL);
+begin
+  FHasURL := Value;
+end;
+
 { TBottleLogList }
 
 procedure TBottleLogList.AddScriptLog(const Script, Channel, MID,
index 7ea75cd..8e7aa28 100755 (executable)
@@ -874,8 +874,264 @@ object frmSender: TfrmSender
     Left = 8
     Top = 72
     Bitmap = {
-      494C01012B002C00040010001000FFFFFFFFFF10FFFFFFFFFFFFFFFF424D3600
-      000000000000360000002800000040000000B0000000010020000000000000B0
+      494C01012C003100040010001000FFFFFFFFFF00FFFFFFFFFFFFFFFF424D3600
+      000000000000360000002800000040000000D0000000010020000000000000D0
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
@@ -891,7 +1147,7 @@ object frmSender: TfrmSender
       00000000000000000000000000000000000000000000FFCC3300FFCC3300FFCC
       3300FFCC3300FFCC330000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
+      000000000000F0CAA600F0CAA600F0CAA600F0CAA600F0CAA600F0CAA6000000
       0000000000000000000000000000000000000000000000000000000000000000
       0000000000000000000000000000840000008400000084000000840000008400
       0000840000008400000084000000840000008080800080808000808080008080
@@ -899,103 +1155,103 @@ object frmSender: TfrmSender
       80008080800080808000808080000000000000000000F0CAA600F0CAA600F0CA
       A600F0CAA600FFCC330000000000000000000404040004040400040404000000
       0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
+      0000CC003300CC003300CC003300CC003300CC003300CC003300CC003300CC00
+      3300000000000000000000000000000000000000000000000000000000000000
       000000000000000000000000000084000000FFFFFF00FFFFFF00FFFFFF00FFFF
       FF00FFFFFF00FFFFFF00FFFFFF008400000080808000C0C0C000C0C0C000C0C0
       C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0
       C000C0C0C000C0C0C000808080000000000000000000F0CAA600F0CAA600F0CA
       A600F0CAA600FFCC330000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
+      000000000000000000000000000000000000000000000000000000000000CC00
+      3300CC003300CC003300CC003300CC00330033CC3300CC0033000099990033CC
+      000033CC00000000000000000000000000000000000000000000000000000000
       000000000000000000000000000084000000FFFFFF0000000000000000000000
       00000000000000000000FFFFFF008400000080808000C0C0C000C0C0C000C0C0
       C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0
       C000C0C0C000C0C0C00080808000000000000000000000000000F0CAA600F0CA
       A600F0CAA6000000000000000000000000000404040004040400040404000404
-      0400040404000404040004040400000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
+      0400040404000404040004040400000000000000000000000000FF660000FF66
+      0000FF660000FF66000033CC3300FF660000FF660000FF660000FF6600000099
+      990033CC330033CC000000000000000000000000000000000000000000000000
       000000000000000000000000000084000000FFFFFF00FFFFFF00FFFFFF00FFFF
       FF00FFFFFF00FFFFFF00FFFFFF008400000080808000C0C0C000C0C0C000C0C0
       C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0
       C000C0C0C000C0C0C000808080000000000000000000F0CAA600F0CAA600F0CA
       A600F0CAA600FFCC330000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000FFFFFF00FFFF
+      00000000000000000000000000000000000000000000FF660000FF660000FF66
+      0000FF660000FF660000FF660000FF660000FF660000FF660000FF660000FF66
+      0000CC003300CC003300CC003300000000000000000000000000FFFFFF00FFFF
       FF00FFFFFF00FFFFFF00FFFFFF0084000000FFFFFF0000000000000000000000
       00000000000000000000FFFFFF008400000080808000C0C0C000C0C0C000C0C0
       C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0
       C000C0C0C000C0C0C000808080000000000000000000F0CAA600F0CAA600F0CA
       A600F0CAA600FFCC330000000000000000000404040004040400040404000404
-      0400040404000404040004040400000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000FFFFFF000000
+      0400040404000404040004040400000000000000000033CC000033CC000033CC
+      0000FF660000FF660000FF660000FF660000FF66000000999900FF660000FF66
+      0000FF660000CC00330033CC0000000000000000000000000000FFFFFF000000
       000000000000000000000000000084000000FFFFFF00FFFFFF00FFFFFF00FFFF
       FF00FFFFFF00FFFFFF00FFFFFF008400000080808000C0C0C000C0C0C000C0C0
       C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0C000C0C0
       C000C0C0C000C0C0C00080808000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000FFFFFF00FFFF
+      0000000000000000000000000000000000000000000033CC000033CC000033CC
+      000033CC000033CC0000FF660000FF660000FF66000033CC000033CC0000FF66
+      0000FF660000FF66000033CC0000000000000000000000000000FFFFFF00FFFF
       FF00FFFFFF00FFFFFF00FFFFFF0084000000FFFFFF000000000000000000FFFF
       FF00840000008400000084000000840000008080800080808000808080008080
       8000808080008080800080808000808080008080800080808000808080008080
       8000808080008080800080808000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000FFFFFF000000
+      0000000000000000000000000000000000000000000000CCCC0000CCCC0000CC
+      CC0000CCCC0000CCCC0033CC0000FF66000033CC0000FF66000033CC000033CC
+      0000FF660000FF66000033CC0000000000000000000000000000FFFFFF000000
       000000000000000000000000000084000000FFFFFF00FFFFFF00FFFFFF00FFFF
       FF0084000000FFFFFF008400000000000000808080000000FF000000FF000000
       FF000000FF000000FF000000FF000000FF000000FF000000FF000000FF000000
       FF000000FF000000FF00808080000000000000000000FF99FF00FF99FF00FF99
       FF00FF99FF00FF99FF0000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000FFFFFF00FFFF
+      0000000000000000000000000000000000000000000000CCCC0000CCCC000099
+      9900009999000099990000999900FF66000033CC0000FF660000FF660000FF66
+      0000FF660000FF66000033CC0000000000000000000000000000FFFFFF00FFFF
       FF00FFFFFF00FFFFFF00FFFFFF0084000000FFFFFF00FFFFFF00FFFFFF00FFFF
       FF0084000000840000000000000000000000808080000000FF000000FF000000
       FF000000FF000000FF000000FF000000FF000000FF000000FF000000FF000000
       FF000000FF000000FF00808080000000000000000000FFCCFF00FFCCFF00FFCC
       FF00FFCCFF00FF99FF0000000000000000000404040004040400040404000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000FFFFFF000000
+      0000000000000000000000000000000000000000000033CC000033CC000000CC
+      CC0000999900009999000099990033CC000033CC000000CC990000CC9900FF66
+      0000FF660000FF66000033CC0000000000000000000000000000FFFFFF000000
       000000000000FFFFFF0000000000840000008400000084000000840000008400
       000084000000000000000000000000000000808080000000FF000000FF000000
       FF000000FF000000FF000000FF000000FF000000FF000000FF000000FF000000
       FF000000FF000000FF00808080000000000000000000FFCCFF00FFCCFF00FFCC
       FF00FFCCFF00FF99FF0000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000FFFFFF00FFFF
+      000000000000000000000000000000000000000000000000000033CC000033CC
+      000033CC000033CC000033CC000033CC000033CC000000CC9900FF660000FF66
+      0000FF660000FF66000000000000000000000000000000000000FFFFFF00FFFF
       FF00FFFFFF00FFFFFF0000000000FFFFFF000000000000000000000000000000
       000000000000000000000000000000000000FF000000FF000000FF000000FF00
       0000FF000000FF000000FF000000FF000000FF000000FF000000FF000000FF00
       0000FF000000FF000000FF000000000000000000000000000000FFCCFF00FFCC
       FF00FFCCFF000000000000000000000000000404040004040400040404000404
-      0400040404000404040004040400000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000FFFFFF00FFFF
+      04000404040004040400040404000000000000000000000000000000000033CC
+      000033CC000033CC000033CC000033CC0000FF660000FF660000FF660000FF66
+      0000FF6600000000000000000000000000000000000000000000FFFFFF00FFFF
       FF00FFFFFF00FFFFFF0000000000000000000000000000000000000000000000
       00000000000000000000000000000000000080808000FF000000FF000000FF00
       0000FF000000FF000000FF000000FF000000FF000000FF000000FF000000FF00
       0000FF000000FF000000FF0000000000000000000000FFCCFF00FFCCFF00FFCC
       FF00FFCCFF00FF99FF0000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
+      0000CC003300CC003300CC003300CC003300CC003300CC003300CC003300CC00
+      3300000000000000000000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
       00000000000000000000000000000000000000000000FFCCFF00FFCCFF00FFCC
       FF00FFCCFF00FF99FF0000000000000000000404040004040400040404000404
       0400040404000404040004040400000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000000000000000000000
+      000000000000CC003300CC003300CC003300CC003300CC003300CC0033000000
       0000000000000000000000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
@@ -2285,12 +2541,20 @@ object frmSender: TfrmSender
       0000000000000000000000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
       000000000000000000000000000000000000424D3E000000000000003E000000
-      2800000040000000B00000000100010000000000800500000000000000000000
-      000000000000000000000000FFFFFF00FFFFFFFF01FF0000FFFFFFFF01FF0000
-      FE000000011F0000FE00000001FF0000FE000000830100008000000001FF0000
-      80000000010100008000000083FF00008000000001FF00008001000001FF0000
-      80030000011F00008007000001FF0000807F00008301000080FF000101FF0000
-      81FFFFFF01010000FFFFFFFF83FF0000F01FFFFFFFFF83FF000183E0FFFF011F
+      2800000040000000D00000000100010000000000800600000000000000000000
+      000000000000000000000000FFFFFF0000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      00000000000000000000000000000000FFFFFFFF01FFFFFFFFFFFFFF01FFF81F
+      FE000000011FF00FFE00000001FFE007FE0000008301C0038000000001FF8001
+      80000000010180018000000083FF80018000000001FF80018001000001FF8001
+      80030000011F80018007000001FFC003807F00008301E00780FF000101FFF00F
+      81FFFFFF0101F81FFFFFFFFF83FFFFFFF01FFFFFFFFF83FF000183E0FFFF011F
       000083E0E000010F000183E0C000010700008080C5500103000180008AA80101
       0807810095508300F80781002AA0C701F807C00100048303F807E08300000107
       F807E0839554010FF807F1C78A80011FF807F1C7950101FFFC0FF1C7C07F01FF
@@ -2330,8 +2594,7 @@ object frmSender: TfrmSender
       F00BFFFFFC018000F00BFB7FFC010000F00BF93FFC010000F00BF81F00010000
       F00B800F00010001F00B800700010003B00B8003000100038003800700030003
       C027800F00070003802FF81F000F0FC3C01FF93F00FF0003C0FFFB7F01FF8007
-      93FFFFFF03FFF87FB33FFFFFFFFFFFFF00000000000000000000000000000000
-      000000000000}
+      93FFFFFF03FFF87FB33FFFFFFFFFFFFF}
   end
   object mnPopupConst: TPopupMenu
     Images = imgIcon
index d216fa3..f818103 100755 (executable)
@@ -324,7 +324,9 @@ type
       Before, After: TStrings): String; overload;
   public
     function DoTrans(var Script: String;
-      Options: TScriptTransOptions): String;
+      Options: TScriptTransOptions): String; overload;
+    function DoTrans(var Script: String;
+      Options: TScriptTransOptions; out FoundURL: boolean): String; overload;
     function ScriptTransForSSTP(const Script: String): String; overload;
     function ScriptTransForSSTP(const Script: String;
       out Error: String): String; overload;
@@ -1137,7 +1139,7 @@ begin
 end;
 
 function TfrmSender.DoTrans(var Script: String;
-  Options: TScriptTransOptions): String;
+  Options: TScriptTransOptions; out FoundURL: boolean): String;
 var UrlCancel, Mark: String;
     Url, UrlName: array[0..6] of String;
     i, j, u, UrlCount: integer;
@@ -1230,6 +1232,7 @@ begin
       end;
     end;
     if UrlCount > 0 then begin
+      FoundUrl := true;
       Script := Script + '\h\n';
       if not (toNoChoice in Options) then begin
         for i := 0 to UrlCount-1 do begin
@@ -1245,7 +1248,8 @@ begin
           Script := Script + Format('\n{%s}', [UrlCancel]);
         end;
       end;
-    end;
+    end else
+      FoundUrl := false;
     //\83X\83N\83\8a\83v\83g\82Ì\8dÅ\8cã\82É\83E\83F\83C\83g\91}\93ü
     if toWaitScriptEnd in Options then begin
       i := Pref.WaitScriptEnd;
@@ -1286,6 +1290,13 @@ begin
   end;
 end;
 
+function TfrmSender.DoTrans(var Script: String;
+  Options: TScriptTransOptions): String;
+var dum: boolean;
+begin
+  Result := DoTrans(Script, Options, dum);
+end;
+
 procedure TfrmSender.mnGoToHPClick(Sender: TObject);
 begin
   ShellExecute(Handle, 'open', PChar(Pref.HomePage), nil, nil, SW_SHOW);