OSDN Git Service

adf4b7e18bf0330e56c87601e15007a20bb2bd99
[pf3gnuchains/pf3gnuchains3x.git] / opcodes / tic54x-opc.c
1 /* Table of opcodes for the Texas Instruments TMS320C54X
2    Copyright (C) 1999, 2000 Free Software Foundation, Inc.
3    Contributed by Timothy Wall (twall@cygnus.com)
4
5    This program is free software; you can redistribute it and/or modify
6    it under the terms of the GNU General Public License as published by
7    the Free Software Foundation; either version 2 of the License, or
8    (at your option) any later version.
9
10    This program is distributed in the hope that it will be useful,
11    but WITHOUT ANY WARRANTY; without even the implied warranty of
12    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13    GNU General Public License for more details.
14
15    You should have received a copy of the GNU General Public License
16    along with this program; if not, write to the Free Software
17    Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA
18    02111-1307, USA.  */
19
20 #include "sysdep.h"
21 #include "opcode/tic54x.h"
22
23 /* these are the only register names not found in mmregs */
24 const symbol regs[] = {
25   { "AR0", 16 },                  { "ar0", 16 },                               
26   { "AR1", 17 },                  { "ar1", 17 },                               
27   { "AR2", 18 },                  { "ar2", 18 },                               
28   { "AR3", 19 },                  { "ar3", 19 },                               
29   { "AR4", 20 },                  { "ar4", 20 },                               
30   { "AR5", 21 },                  { "ar5", 21 },                               
31   { "AR6", 22 },                  { "ar6", 22 },                               
32   { "AR7", 23 },                  { "ar7", 23 },                               
33   { NULL, }
34 };
35
36 /* status bits, MM registers, condition codes, etc */
37 /* some symbols are only valid for certain chips... */
38 const symbol mmregs[] = {
39   { "IMR", 0 },                   { "imr", 0 },                                
40   { "IFR", 1 },                   { "ifr", 1 },                                
41   { "ST0", 6 },                   { "st0", 6 },                                
42   { "ST1", 7 },                   { "st1", 7 },                                
43   { "AL",  8 },                   { "al",  8 },                                
44   { "AH",  9 },                   { "ah",  9 },                                
45   { "AG",  10 },                  { "ag",  10 },                               
46   { "BL",  11 },                  { "bl",  11 },                               
47   { "BH",  12 },                  { "bh",  12 },                               
48   { "BG",  13 },                  { "bg",  13 },                               
49   { "T",   14 },                  { "t",   14 },                               
50   { "TRN", 15 },                  { "trn", 15 },                               
51   { "AR0", 16 },                  { "ar0", 16 },                               
52   { "AR1", 17 },                  { "ar1", 17 },                               
53   { "AR2", 18 },                  { "ar2", 18 },                               
54   { "AR3", 19 },                  { "ar3", 19 },                               
55   { "AR4", 20 },                  { "ar4", 20 },                               
56   { "AR5", 21 },                  { "ar5", 21 },                               
57   { "AR6", 22 },                  { "ar6", 22 },                               
58   { "AR7", 23 },                  { "ar7", 23 },                               
59   { "SP",  24 },                  { "sp",  24 },                               
60   { "BK",  25 },                  { "bk",  25 },                               
61   { "BRC", 26 },                  { "brc", 26 },                               
62   { "RSA", 27 },                  { "rsa", 27 },                               
63   { "REA", 28 },                  { "rea", 28 },                               
64   { "PMST",29 },                  { "pmst",29 },                               
65   { "XPC", 30 },                  { "xpc", 30 }, /* 'c548 only */              
66   /* optional peripherals */      /* optional peripherals */                   
67   { "M1F", 31 },                  { "m1f", 31 },                               
68   { "DRR0",0x20 },                { "drr0",0x20 },                             
69   { "BDRR0",0x20 },               { "bdrr0",0x20 }, /* 'c543, 545 */           
70   { "DXR0",0x21 },                { "dxr0",0x21 },                             
71   { "BDXR0",0x21 },               { "bdxr0",0x21 }, /* 'c543, 545 */           
72   { "SPC0",0x22 },                { "spc0",0x22 },                             
73   { "BSPC0",0x22 },               { "bspc0",0x22 }, /* 'c543, 545 */           
74   { "SPCE0",0x23 },               { "spce0",0x23 },                            
75   { "BSPCE0",0x23 },              { "bspce0",0x23 }, /* 'c543, 545 */          
76   { "TIM", 0x24 },                { "tim", 0x24 },                             
77   { "PRD", 0x25 },                { "prd", 0x25 },                             
78   { "TCR", 0x26 },                { "tcr", 0x26 },                             
79   { "SWWSR",0x28 },               { "swwsr",0x28 },                            
80   { "BSCR",0x29 },                { "bscr",0x29 },                             
81   { "HPIC",0x2C },                { "hpic",0x2c },                             
82   /* 'c541, 'c545 */              /* 'c541, 'c545 */                           
83   { "DRR1",0x30 },                { "drr1",0x30 },                             
84   { "DXR1",0x31 },                { "dxr1",0x31 },                             
85   { "SPC1",0x32 },                { "spc1",0x32 },                             
86   /* 'c542, 'c543 */              /* 'c542, 'c543 */                           
87   { "TRCV",0x30 },                { "trcv",0x30 },                             
88   { "TDXR",0x31 },                { "tdxr",0x31 },                             
89   { "TSPC",0x32 },                { "tspc",0x32 },                             
90   { "TCSR",0x33 },                { "tcsr",0x33 },                             
91   { "TRTA",0x34 },                { "trta",0x34 },                             
92   { "TRAD",0x35 },                { "trad",0x35 },                             
93   { "AXR0",0x38 },                { "axr0",0x38 },                             
94   { "BKX0",0x39 },                { "bkx0",0x39 },                             
95   { "ARR0",0x3A },                { "arr0",0x3a },                             
96   { "BKR0",0x3B },                { "bkr0",0x3b },                             
97   /* 'c545, 'c546, 'c548 */       /* 'c545, 'c546, 'c548 */                    
98   { "CLKMD",0x58 },               { "clkmd",0x58 },                            
99   /* 'c548 */                     /* 'c548 */                                  
100   { "AXR1",0x3C },                { "axr1",0x3c },                             
101   { "BKX1",0x3D },                { "bkx1",0x3d },                             
102   { "ARR1",0x3E },                { "arr1",0x3e },                             
103   { "BKR1",0x3F },                { "bkr1",0x3f },                             
104   { "BDRR1",0x40 },               { "bdrr1",0x40 },                            
105   { "BDXR1",0x41 },               { "bdxr1",0x41 },                            
106   { "BSPC1",0x42 },               { "bspc1",0x42 },                            
107   { "BSPCE1",0x43 },              { "bspce1",0x43 },                           
108   { NULL },
109 };
110
111 const symbol condition_codes[] = {
112   /* condition codes */
113   { "UNC",  0 },                { "unc",  0 },
114 #define CC1   0x40
115 #define CCB   0x08
116 #define CCEQ  0x05
117 #define CCNEQ 0x04
118 #define CCLT  0x03
119 #define CCLEQ 0x07
120 #define CCGT  0x06
121 #define CCGEQ 0x02
122 #define CCOV  0x70
123 #define CCNOV 0x60
124 #define CCBIO 0x03
125 #define CCNBIO 0x02
126 #define CCTC  0x30
127 #define CCNTC 0x20
128 #define CCC   0x0C
129 #define CCNC  0x08
130   { "aeq",  CC1|CCEQ },         { "AEQ",  CC1|CCEQ },
131   { "aneq", CC1|CCNEQ },        { "ANEQ", CC1|CCNEQ },
132   { "alt",  CC1|CCLT },         { "ALT",  CC1|CCLT },
133   { "aleq", CC1|CCLEQ },        { "ALEQ", CC1|CCLEQ },
134   { "agt",  CC1|CCGT },         { "AGT",  CC1|CCGT },  
135   { "ageq", CC1|CCGEQ },        { "AGEQ", CC1|CCGEQ },  
136   { "aov",  CC1|CCOV },         { "AOV",  CC1|CCOV },  
137   { "anov", CC1|CCNOV },        { "ANOV", CC1|CCNOV },  
138   { "beq",  CC1|CCB|CCEQ },     { "BEQ",  CC1|CCB|CCEQ },  
139   { "bneq", CC1|CCB|CCNEQ },    { "BNEQ", CC1|CCB|CCNEQ },  
140   { "blt",  CC1|CCB|CCLT },     { "BLT",  CC1|CCB|CCLT },  
141   { "bleq", CC1|CCB|CCLEQ },    { "BLEQ", CC1|CCB|CCLEQ },  
142   { "bgt",  CC1|CCB|CCGT },     { "BGT",  CC1|CCB|CCGT },  
143   { "bgeq", CC1|CCB|CCGEQ },    { "BGEQ", CC1|CCB|CCGEQ },  
144   { "bov",  CC1|CCB|CCOV },     { "BOV",  CC1|CCB|CCOV },  
145   { "bnov", CC1|CCB|CCNOV },    { "BNOV", CC1|CCB|CCNOV },  
146   { "tc",   CCTC },             { "TC",   CCTC },  
147   { "ntc",  CCNTC },            { "NTC",  CCNTC },  
148   { "c",    CCC },              { "C",    CCC },  
149   { "nc",   CCNC },             { "NC",   CCNC },  
150   { "bio",  CCBIO },            { "BIO",  CCBIO },  
151   { "nbio", CCNBIO },           { "NBIO", CCNBIO },  
152   { NULL, }
153 };
154
155 const symbol cc2_codes[] = {
156   { "UNC", 0 },  { "unc", 0 },
157   { "AEQ", 5 },  { "aeq", 5 },
158   { "ANEQ", 4 }, { "aneq", 4 },
159   { "AGT", 6 },  { "agt", 6 },
160   { "ALT", 3 },  { "alt", 3 },
161   { "ALEQ", 7 }, { "aleq", 7 },
162   { "AGEQ", 2 }, { "ageq", 2 },
163   { "BEQ", 13 }, { "beq", 13 },
164   { "BNEQ", 12 },{ "bneq", 12 },
165   { "BGT", 14 }, { "bgt", 14 },
166   { "BLT", 11 }, { "blt", 11 },
167   { "BLEQ", 15 },{ "bleq", 15 },
168   { "BGEQ", 10 },{ "bgeq", 10 },
169   { NULL },
170 };
171
172 const symbol cc3_codes[] = {
173   { "EQ", 0x0000 },  { "eq", 0x0000 },
174   { "LT", 0x0100 },  { "lt", 0x0100 },
175   { "GT", 0x0200 },  { "gt", 0x0200 },
176   { "NEQ", 0x0300 }, { "neq", 0x0300 },
177   { "0", 0x0000 }, 
178   { "1", 0x0100 },
179   { "2", 0x0200 },
180   { "3", 0x0300 },
181   { "00", 0x0000 }, 
182   { "01", 0x0100 },
183   { "10", 0x0200 },
184   { "11", 0x0300 },
185   { NULL },
186 };
187
188 /* FIXME -- also allow decimal digits */
189 const symbol status_bits[] = {
190   /* status register 0 */
191   { "TC",  12 },                { "tc",  12 },
192   { "C",   11 },                { "c",   11 },
193   { "OVA", 10 },                { "ova", 10 },
194   { "OVB",  9 },                { "ovb",  9 },
195   /* status register 1 */
196   { "BRAF",15 },                { "braf",15 },
197   { "CPL", 14 },                { "cpl", 14 },
198   { "XF",  13 },                { "xf",  13 },
199   { "HM",  12 },                { "hm",  12 },
200   { "INTM",11 },                { "intm",11 },
201   { "OVM",  9 },                { "ovm",  9 },
202   { "SXM",  8 },                { "sxm",  8 },
203   { "C16",  7 },                { "c16",  7 },
204   { "FRCT", 6 },                { "frct", 6 },
205   { "CMPT", 5 },                { "cmpt", 5 },
206   { NULL },
207 };
208
209 const char *misc_symbols[] = {
210   "ARP", "arp",
211   "DP",  "dp",
212   "ASM", "asm",
213   "TS",  "ts",
214   NULL
215 };
216
217 /* Due to the way instructions are hashed and scanned in
218    gas/config/tc-tic54x.c, all identically-named opcodes must be consecutively
219    placed 
220
221    Items marked with "PREFER" have been moved prior to a more costly
222    instruction with a similar operand format.
223
224    Mnemonics which can take either a predefined symbol or a memory reference
225    as an argument are arranged so that the more restrictive (predefined
226    symbol) version is checked first (marked "SRC").
227 */
228 const template tic54x_unknown_opcode = 
229   { "???",   1,0,0,0x0000, 0x0000, {0}, };
230 const template tic54x_optab[] = {
231   /* these must precede bc/bcd, cc/ccd to avoid misinterpretation */
232   { "fb",    2,1,1,0xF880, 0xFF80, {OP_xpmad}, B_BRANCH|FL_FAR|FL_NR, },
233   { "fbd",   2,1,1,0xFA80, 0xFF80, {OP_xpmad}, B_BRANCH|FL_FAR|FL_DELAY|FL_NR, },
234   { "fcall", 2,1,1,0xF980, 0xFF80, {OP_xpmad}, B_BRANCH|FL_FAR|FL_NR, },
235   { "fcalld",2,1,1,0xFB80, 0xFF80, {OP_xpmad}, B_BRANCH|FL_FAR|FL_DELAY|FL_NR, },
236
237   { "abdst", 1,2,2,0xE300, 0xFF00, {OP_Xmem,OP_Ymem}, },
238   { "abs",   1,1,2,0xF485, 0xFCFF, {OP_SRC,OPT|OP_DST}, },
239   { "add",   1,1,3,0xF400, 0xFCE0, {OP_SRC,OPT|OP_SHIFT,OPT|OP_DST}, },/*SRC*/
240   { "add",   1,2,3,0xF480, 0xFCFF, {OP_SRC,OP_ASM,OPT|OP_DST}, },/*SRC*/
241   { "add",   1,2,2,0x0000, 0xFE00, {OP_Smem,OP_SRC1}, FL_SMR },
242   { "add",   1,3,3,0x0400, 0xFE00, {OP_Smem,OP_TS,OP_SRC1}, FL_SMR },
243   { "add",   1,3,4,0x3C00, 0xFC00, {OP_Smem,OP_16,OP_SRC,OPT|OP_DST}, FL_SMR},
244   { "add",   1,3,3,0x9000, 0xFE00, {OP_Xmem,OP_SHFT,OP_SRC1}, },/*PREFER*/
245   { "add",   2,2,4,0x6F00, 0xFF00, {OP_Smem,OPT|OP_SHIFT,OP_SRC,OPT|OP_DST}, 
246     FL_EXT|FL_SMR, 0x0C00, 0xFCE0},
247   { "add",   1,3,3,0xA000, 0xFE00, {OP_Xmem,OP_Ymem,OP_DST}, },
248   { "add",   2,2,4,0xF000, 0xFCF0, {OP_lk,OPT|OP_SHIFT,OP_SRC,OPT|OP_DST}, },
249   { "add",   2,3,4,0xF060, 0xFCFF, {OP_lk,OP_16,OP_SRC,OPT|OP_DST}, },
250   { "addc",  1,2,2,0x0600, 0xFE00, {OP_Smem,OP_SRC1}, FL_SMR },
251   { "addm",  2,2,2,0x6B00, 0xFF00, {OP_lk,OP_Smem}, FL_NR|FL_SMR, },
252   { "adds",  1,2,2,0x0200, 0xFE00, {OP_Smem,OP_SRC1}, FL_SMR },
253   { "and",   1,1,3,0xF080, 0xFCE0, {OP_SRC,OPT|OP_SHIFT,OPT|OP_DST}, },
254   { "and",   1,2,2,0x1800, 0xFE00, {OP_Smem,OP_SRC1}, FL_SMR },
255   { "and",   2,2,4,0xF030, 0xFCF0, {OP_lk,OPT|OP_SHFT,OP_SRC,OPT|OP_DST}, },
256   { "and",   2,3,4,0xF063, 0xFCFF, {OP_lk,OP_16,OP_SRC,OPT|OP_DST}, },
257   { "andm",  2,2,2,0x6800, 0xFF00, {OP_lk,OP_Smem}, FL_NR, },
258   { "b",     2,1,1,0xF073, 0xFFFF, {OP_pmad}, B_BRANCH|FL_NR, },
259   { "bd",    2,1,1,0xF273, 0xFFFF, {OP_pmad}, B_BRANCH|FL_DELAY|FL_NR, },
260   { "bacc",  1,1,1,0xF4E2, 0xFEFF, {OP_SRC1}, B_BACC|FL_NR, },
261   { "baccd", 1,1,1,0xF6E2, 0xFEFF, {OP_SRC1}, B_BACC|FL_DELAY|FL_NR, },
262   { "banz",  2,2,2,0x6C00, 0xFF00, {OP_pmad,OP_Sind}, B_BRANCH|FL_NR, },
263   { "banzd", 2,2,2,0x6E00, 0xFF00, {OP_pmad,OP_Sind}, B_BRANCH|FL_DELAY|FL_NR, },
264   { "bc",    2,2,4,0xF800, 0xFF00, {OP_pmad,OP_CC,OPT|OP_CC,OPT|OP_CC}, 
265     B_BRANCH|FL_NR, },
266   { "bcd",   2,2,4,0xFA00, 0xFF00, {OP_pmad,OP_CC,OPT|OP_CC,OPT|OP_CC},
267     B_BRANCH|FL_DELAY|FL_NR, },
268   { "bit",   1,2,2,0x9600, 0xFF00, {OP_Xmem,OP_BITC}, },
269   { "bitf",  2,2,2,0x6100, 0xFF00, {OP_Smem,OP_lk}, FL_SMR },
270   { "bitt",  1,1,1,0x3400, 0xFF00, {OP_Smem}, FL_SMR },
271   { "cala",  1,1,1,0xF4E3, 0xFEFF, {OP_SRC1}, B_BACC|FL_NR, },
272   { "calad", 1,1,1,0xF6E3, 0xFEFF, {OP_SRC1}, B_BACC|FL_DELAY|FL_NR, },
273   { "call",  2,1,1,0xF074, 0xFFFF, {OP_pmad}, B_BRANCH|FL_NR, },
274   { "calld", 2,1,1,0xF274, 0xFFFF, {OP_pmad}, B_BRANCH|FL_DELAY|FL_NR, },
275   { "cc",    2,2,4,0xF900, 0xFF00, {OP_pmad,OP_CC,OPT|OP_CC,OPT|OP_CC}, 
276     B_BRANCH|FL_NR, },
277   { "ccd",   2,2,4,0xFB00, 0xFF00, {OP_pmad,OP_CC,OPT|OP_CC,OPT|OP_CC},
278     B_BRANCH|FL_DELAY|FL_NR, },
279   { "cmpl",  1,1,2,0xF493, 0xFCFF, {OP_SRC,OPT|OP_DST}, },
280   { "cmpm",  2,2,2,0x6000, 0xFF00, {OP_Smem,OP_lk}, FL_SMR },
281   { "cmpr",  1,2,2,0xF4A8, 0xFCF8, {OP_CC3,OP_ARX}, FL_NR, },
282   { "cmps",  1,2,2,0x8E00, 0xFE00, {OP_SRC1,OP_Smem}, },
283   { "dadd",  1,2,3,0x5000, 0xFC00, {OP_Lmem,OP_SRC,OPT|OP_DST}, },
284   { "dadst", 1,2,2,0x5A00, 0xFE00, {OP_Lmem,OP_DST}, },
285   { "delay", 1,1,1,0x4D00, 0xFF00, {OP_Smem}, FL_SMR },
286   { "dld",   1,2,2,0x5600, 0xFE00, {OP_Lmem,OP_DST}, },
287   { "drsub", 1,2,2,0x5800, 0xFE00, {OP_Lmem,OP_SRC1}, },
288   { "dsadt", 1,2,2,0x5E00, 0xFE00, {OP_Lmem,OP_DST}, },
289   { "dst",   1,2,2,0x4E00, 0xFE00, {OP_SRC1,OP_Lmem}, FL_NR, },
290   { "dsub",  1,2,2,0x5400, 0xFE00, {OP_Lmem,OP_SRC1}, },
291   { "dsubt", 1,2,2,0x5C00, 0xFE00, {OP_Lmem,OP_DST}, },
292   { "exp",   1,1,1,0xF48E, 0xFEFF, {OP_SRC1}, },
293   { "fbacc", 1,1,1,0xF4E6, 0xFEFF, {OP_SRC1}, B_BACC|FL_FAR|FL_NR, },
294   { "fbaccd",1,1,1,0xF6E6, 0xFEFF, {OP_SRC1}, B_BACC|FL_FAR|FL_DELAY|FL_NR, },
295   { "fcala", 1,1,1,0xF4E7, 0xFEFF, {OP_SRC1}, B_BACC|FL_FAR|FL_NR, },
296   { "fcalad",1,1,1,0xF6E7, 0xFEFF, {OP_SRC1}, B_BACC|FL_FAR|FL_DELAY|FL_NR, },
297   { "firs",  2,3,3,0xE000, 0xFF00, {OP_Xmem,OP_Ymem,OP_pmad}, },
298   { "frame", 1,1,1,0xEE00, 0xFF00, {OP_k8}, },
299   { "fret",  1,0,0,0xF4E4, 0xFFFF, {OP_None}, B_RET|FL_FAR|FL_NR, },
300   { "fretd", 1,0,0,0xF6E4, 0xFFFF, {OP_None}, B_RET|FL_FAR|FL_DELAY|FL_NR, },
301   { "frete", 1,0,0,0xF4E5, 0xFFFF, {OP_None}, B_RET|FL_FAR|FL_NR, },
302   { "freted",1,0,0,0xF6E5, 0xFFFF, {OP_None}, B_RET|FL_FAR|FL_DELAY|FL_NR, },
303   { "idle",  1,1,1,0xF4E1, 0xFCFF, {OP_123}, FL_NR, },
304   { "intr",  1,1,1,0xF7C0, 0xFFE0, {OP_031}, B_BRANCH|FL_NR, },
305   { "ld",    1,2,3,0xF482, 0xFCFF, {OP_SRC,OP_ASM,OPT|OP_DST}, },/*SRC*/
306   { "ld",    1,2,3,0xF440, 0xFCE0, {OP_SRC,OPT|OP_SHIFT,OP_DST}, },/*SRC*/
307   /* alternate syntax */
308   { "ld",    1,2,3,0xF440, 0xFCE0, {OP_SRC,OP_SHIFT,OPT|OP_DST}, },/*SRC*/
309   { "ld",    1,2,2,0xE800, 0xFE00, {OP_k8u,OP_DST}, },/*SRC*/
310   { "ld",    1,2,2,0xED00, 0xFFE0, {OP_k5,OP_ASM}, },/*SRC*/
311   { "ld",    1,2,2,0xF4A0, 0xFFF8, {OP_k3,OP_ARP}, FL_NR, },/*SRC*/
312   { "ld",    1,2,2,0xEA00, 0xFE00, {OP_k9,OP_DP}, FL_NR, },/*PREFER */
313   { "ld",    1,2,2,0x3000, 0xFF00, {OP_Smem,OP_T}, FL_SMR },/*SRC*/
314   { "ld",    1,2,2,0x4600, 0xFF00, {OP_Smem,OP_DP}, FL_SMR },/*SRC*/
315   { "ld",    1,2,2,0x3200, 0xFF00, {OP_Smem,OP_ASM}, FL_SMR },/*SRC*/
316   { "ld",    1,2,2,0x1000, 0xFE00, {OP_Smem,OP_DST}, FL_SMR },
317   { "ld",    1,3,3,0x1400, 0xFE00, {OP_Smem,OP_TS,OP_DST}, FL_SMR },
318   { "ld",    1,3,3,0x4400, 0xFE00, {OP_Smem,OP_16,OP_DST}, FL_SMR },
319   { "ld",    1,3,3,0x9400, 0xFE00, {OP_Xmem,OP_SHFT,OP_DST}, },/*PREFER*/
320   { "ld",    2,2,3,0x6F00, 0xFF00, {OP_Smem,OPT|OP_SHIFT,OP_DST}, 
321     FL_EXT|FL_SMR, 0x0C40, 0xFEE0 },
322   { "ld",    2,2,3,0xF020, 0xFEF0, {OP_lk,OPT|OP_SHFT,OP_DST}, },
323   { "ld",    2,3,3,0xF062, 0xFEFF, {OP_lk,OP_16,OP_DST}, },
324   { "ldm",   1,2,2,0x4800, 0xFE00, {OP_MMR,OP_DST}, },
325   { "ldr",   1,2,2,0x1600, 0xFE00, {OP_Smem,OP_DST}, FL_SMR },
326   { "ldu",   1,2,2,0x1200, 0xFE00, {OP_Smem,OP_DST}, FL_SMR },
327   { "ldx",   2,3,3,0xF062, 0xFEFF, {OP_xpmad_ms7,OP_16,OP_DST}, FL_FAR},/*pseudo-op*/
328   { "lms",   1,2,2,0xE100, 0xFF00, {OP_Xmem,OP_Ymem}, },
329   { "ltd",   1,1,1,0x4C00, 0xFF00, {OP_Smem}, FL_SMR },
330   { "mac",   1,2,2,0x2800, 0xFE00, {OP_Smem,OP_SRC1}, FL_SMR },
331   { "mac",   1,3,4,0xB000, 0xFC00, {OP_Xmem,OP_Ymem,OP_SRC,OPT|OP_DST}, },
332   { "mac",   2,2,3,0xF067, 0xFCFF, {OP_lk,OP_SRC,OPT|OP_DST}, },
333   { "mac",   2,3,4,0x6400, 0xFC00, {OP_Smem,OP_lk,OP_SRC,OPT|OP_DST}, FL_SMR },
334   { "macr",  1,2,2,0x2A00, 0xFE00, {OP_Smem,OP_SRC1}, FL_SMR },
335   { "macr",  1,3,4,0xB400, 0xFC00, {OP_Xmem,OP_Ymem,OP_SRC,OPT|OP_DST},FL_SMR},
336   { "maca",  1,2,3,0xF488, 0xFCFF, {OP_T,OP_SRC,OPT|OP_DST}, FL_SMR },/*SRC*/
337   { "maca",  1,1,2,0x3500, 0xFF00, {OP_Smem,OPT|OP_B}, FL_SMR },
338   { "macar", 1,2,3,0xF489, 0xFCFF, {OP_T,OP_SRC,OPT|OP_DST}, FL_SMR },/*SRC*/
339   { "macar", 1,1,2,0x3700, 0xFF00, {OP_Smem,OPT|OP_B}, FL_SMR },
340   { "macd",  2,3,3,0x7A00, 0xFE00, {OP_Smem,OP_pmad,OP_SRC1}, FL_SMR },
341   { "macp",  2,3,3,0x7800, 0xFE00, {OP_Smem,OP_pmad,OP_SRC1}, FL_SMR },
342   { "macsu", 1,3,3,0xA600, 0xFE00, {OP_Xmem,OP_Ymem,OP_SRC1}, },
343   { "mar",   1,1,1,0x6D00, 0xFF00, {OP_Smem}, },
344   { "mas",   1,2,2,0x2C00, 0xFE00, {OP_Smem,OP_SRC1}, FL_SMR },
345   { "mas",   1,3,4,0xB800, 0xFC00, {OP_Xmem,OP_Ymem,OP_SRC,OPT|OP_DST}, },
346   { "masr",  1,2,2,0x2E00, 0xFE00, {OP_Smem,OP_SRC1}, FL_SMR },
347   { "masr",  1,3,4,0xBC00, 0xFC00, {OP_Xmem,OP_Ymem,OP_SRC,OPT|OP_DST}, },
348   { "masa",  1,2,3,0xF48A, 0xFCFF, {OP_T,OP_SRC,OPT|OP_DST}, },/*SRC*/
349   { "masa",  1,1,2,0x3300, 0xFF00, {OP_Smem,OPT|OP_B}, FL_SMR },
350   { "masar", 1,2,3,0xF48B, 0xFCFF, {OP_T,OP_SRC,OPT|OP_DST}, },
351   { "max",   1,1,1,0xF486, 0xFEFF, {OP_DST}, },
352   { "min",   1,1,1,0xF487, 0xFEFF, {OP_DST}, },
353   { "mpy",   1,2,2,0x2000, 0xFE00, {OP_Smem,OP_DST}, FL_SMR },
354   { "mpy",   1,3,3,0xA400, 0xFE00, {OP_Xmem,OP_Ymem,OP_DST}, },
355   { "mpy",   2,3,3,0x6200, 0xFE00, {OP_Smem,OP_lk,OP_DST}, FL_SMR },
356   { "mpy",   2,2,2,0xF066, 0xFEFF, {OP_lk,OP_DST}, },
357   { "mpyr",  1,2,2,0x2200, 0xFE00, {OP_Smem,OP_DST}, FL_SMR },
358   { "mpya",  1,1,1,0xF48C, 0xFEFF, {OP_DST}, }, /*SRC*/
359   { "mpya",  1,1,1,0x3100, 0xFF00, {OP_Smem}, FL_SMR },
360   { "mpyu",  1,2,2,0x2400, 0xFE00, {OP_Smem,OP_DST}, FL_SMR },
361   { "mvdd",  1,2,2,0xE500, 0xFF00, {OP_Xmem,OP_Ymem}, },
362   { "mvdk",  2,2,2,0x7100, 0xFF00, {OP_Smem,OP_dmad}, FL_SMR },
363   { "mvdm",  2,2,2,0x7200, 0xFF00, {OP_dmad,OP_MMR}, },
364   { "mvdp",  2,2,2,0x7D00, 0xFF00, {OP_Smem,OP_pmad}, FL_SMR },
365   { "mvkd",  2,2,2,0x7000, 0xFF00, {OP_dmad,OP_Smem}, },
366   { "mvmd",  2,2,2,0x7300, 0xFF00, {OP_MMR,OP_dmad}, },
367   { "mvmm",  1,2,2,0xE700, 0xFF00, {OP_MMRX,OP_MMRY}, FL_NR, },
368   { "mvpd",  2,2,2,0x7C00, 0xFF00, {OP_pmad,OP_Smem}, },
369   { "neg",   1,1,2,0xF484, 0xFCFF, {OP_SRC,OPT|OP_DST}, },
370   { "nop",   1,0,0,0xF495, 0xFFFF, {OP_None}, },
371   { "norm",  1,1,2,0xF48F, 0xFCFF, {OP_SRC,OPT|OP_DST}, },
372   { "or",    1,1,3,0xF0A0, 0xFCE0, {OP_SRC,OPT|OP_SHIFT,OPT|OP_DST}, },/*SRC*/
373   { "or",    1,2,2,0x1A00, 0xFE00, {OP_Smem,OP_SRC1}, FL_SMR },
374   { "or",    2,2,4,0xF040, 0xFCF0, {OP_lk,OPT|OP_SHFT,OP_SRC,OPT|OP_DST}, },
375   { "or",    2,3,4,0xF064, 0xFCFF, {OP_lk,OP_16,OP_SRC,OPT|OP_DST}, },
376   { "orm",   2,2,2,0x6900, 0xFF00, {OP_lk,OP_Smem}, FL_NR|FL_SMR, },
377   { "poly",  1,1,1,0x3600, 0xFF00, {OP_Smem}, FL_SMR },
378   { "popd",  1,1,1,0x8B00, 0xFF00, {OP_Smem}, },
379   { "popm",  1,1,1,0x8A00, 0xFF00, {OP_MMR}, },
380   { "portr", 2,2,2,0x7400, 0xFF00, {OP_PA,OP_Smem}, },
381   { "portw", 2,2,2,0x7500, 0xFF00, {OP_Smem,OP_PA}, FL_SMR },
382   { "pshd",  1,1,1,0x4B00, 0xFF00, {OP_Smem}, FL_SMR },
383   { "pshm",  1,1,1,0x4A00, 0xFF00, {OP_MMR}, },
384   { "ret",   1,0,0,0xFC00, 0xFFFF, {OP_None}, B_RET|FL_NR, },
385   { "retd",  1,0,0,0xFE00, 0xFFFF, {OP_None}, B_RET|FL_DELAY|FL_NR, },
386   { "rc",    1,1,3,0xFC00, 0xFF00, {OP_CC,OPT|OP_CC,OPT|OP_CC}, 
387     B_RET|FL_NR, },
388   { "rcd",   1,1,3,0xFE00, 0xFF00, {OP_CC,OPT|OP_CC,OPT|OP_CC}, 
389     B_RET|FL_DELAY|FL_NR, },
390   { "reada", 1,1,1,0x7E00, 0xFF00, {OP_Smem}, },
391   { "reset", 1,0,0,0xF7E0, 0xFFFF, {OP_None}, FL_NR, },
392   { "rete",  1,0,0,0xF4EB, 0xFFFF, {OP_None}, B_RET|FL_NR, },
393   { "reted", 1,0,0,0xF6EB, 0xFFFF, {OP_None}, B_RET|FL_DELAY|FL_NR, },
394   { "retf",  1,0,0,0xF49B, 0xFFFF, {OP_None}, B_RET|FL_NR, },
395   { "retfd", 1,0,0,0xF69B, 0xFFFF, {OP_None}, B_RET|FL_DELAY|FL_NR, },
396   { "rnd",   1,1,2,0xF49F, 0xFCFF, {OP_SRC,OPT|OP_DST}, FL_LP|FL_NR },
397   { "rol",   1,1,1,0xF491, 0xFEFF, {OP_SRC1}, },
398   { "roltc", 1,1,1,0xF492, 0xFEFF, {OP_SRC1}, },
399   { "ror",   1,1,1,0xF490, 0xFEFF, {OP_SRC1}, },
400   { "rpt",   1,1,1,0x4700, 0xFF00, {OP_Smem}, B_REPEAT|FL_NR|FL_SMR, },
401   { "rpt",   1,1,1,0xEC00, 0xFF00, {OP_k8u}, B_REPEAT|FL_NR, },
402   { "rpt",   2,1,1,0xF070, 0xFFFF, {OP_lku}, B_REPEAT|FL_NR, },
403   { "rptb",  2,1,1,0xF072, 0xFFFF, {OP_pmad}, FL_NR, },
404   { "rptbd", 2,1,1,0xF272, 0xFFFF, {OP_pmad}, FL_DELAY|FL_NR, },
405   { "rptz",  2,2,2,0xF071, 0xFEFF, {OP_DST,OP_lku}, B_REPEAT|FL_NR, },
406   { "rsbx",  1,1,2,0xF4B0, 0xFDF0, {OPT|OP_N,OP_SBIT}, FL_NR, },
407   { "saccd", 1,3,3,0x9E00, 0xFE00, {OP_SRC1,OP_Xmem,OP_CC2}, },
408   { "sat",   1,1,1,0xF483, 0xFEFF, {OP_SRC1}, },
409   { "sfta",  1,2,3,0xF460, 0xFCE0, {OP_SRC,OP_SHIFT,OPT|OP_DST}, },
410   { "sftc",  1,1,1,0xF494, 0xFEFF, {OP_SRC1}, },
411   { "sftl",  1,2,3,0xF0E0, 0xFCE0, {OP_SRC,OP_SHIFT,OPT|OP_DST}, },
412   { "sqdst", 1,2,2,0xE200, 0xFF00, {OP_Xmem,OP_Ymem}, },
413   { "squr",  1,2,2,0xF48D, 0xFEFF, {OP_A,OP_DST}, },/*SRC*/
414   { "squr",  1,2,2,0x2600, 0xFE00, {OP_Smem,OP_DST}, FL_SMR },
415   { "squra", 1,2,2,0x3800, 0xFE00, {OP_Smem,OP_SRC1}, FL_SMR },
416   { "squrs", 1,2,2,0x3A00, 0xFE00, {OP_Smem,OP_SRC1}, FL_SMR },
417   { "srccd", 1,2,2,0x9D00, 0xFF00, {OP_Xmem,OP_CC2}, },
418   { "ssbx",  1,1,2,0xF5B0, 0xFDF0, {OPT|OP_N,OP_SBIT}, FL_NR, },
419   { "st",    1,2,2,0x8C00, 0xFF00, {OP_T,OP_Smem}, },
420   { "st",    1,2,2,0x8D00, 0xFF00, {OP_TRN,OP_Smem}, },
421   { "st",    2,2,2,0x7600, 0xFF00, {OP_lk,OP_Smem}, },
422   { "sth",   1,2,2,0x8200, 0xFE00, {OP_SRC1,OP_Smem}, },
423   { "sth",   1,3,3,0x8600, 0xFE00, {OP_SRC1,OP_ASM,OP_Smem}, },
424   { "sth",   1,3,3,0x9A00, 0xFE00, {OP_SRC1,OP_SHFT,OP_Xmem}, },
425   { "sth",   2,2,3,0x6F00, 0xFF00, {OP_SRC1,OPT|OP_SHIFT,OP_Smem}, 
426     FL_EXT, 0x0C60, 0xFEE0 },
427   { "stl",   1,2,2,0x8000, 0xFE00, {OP_SRC1,OP_Smem}, },
428   { "stl",   1,3,3,0x8400, 0xFE00, {OP_SRC1,OP_ASM,OP_Smem}, },
429   { "stl",   1,3,3,0x9800, 0xFE00, {OP_SRC1,OP_SHFT,OP_Xmem}, },
430   { "stl",   2,2,3,0x6F00, 0xFF00, {OP_SRC1,OPT|OP_SHIFT,OP_Smem}, 
431     FL_EXT, 0x0C80, 0xFEE0 },
432   { "stlm",  1,2,2,0x8800, 0xFE00, {OP_SRC1,OP_MMR}, },
433   { "stm",   2,2,2,0x7700, 0xFF00, {OP_lk,OP_MMR}, },
434   { "strcd", 1,2,2,0x9C00, 0xFF00, {OP_Xmem,OP_CC2}, },
435   { "sub",   1,1,3,0xF420, 0xFCE0, {OP_SRC,OPT|OP_SHIFT,OPT|OP_DST}, },/*SRC*/
436   { "sub",   1,2,3,0xF481, 0xFCFF, {OP_SRC,OP_ASM,OPT|OP_DST}, },/*SRC*/
437   { "sub",   1,2,2,0x0800, 0xFE00, {OP_Smem,OP_SRC1}, FL_SMR },
438   { "sub",   1,3,3,0x0C00, 0xFE00, {OP_Smem,OP_TS,OP_SRC1}, FL_SMR },
439   { "sub",   1,3,4,0x4000, 0xFC00, {OP_Smem,OP_16,OP_SRC,OPT|OP_DST}, FL_SMR },
440   { "sub",   1,3,3,0x9200, 0xFE00, {OP_Xmem,OP_SHFT,OP_SRC1}, }, /*PREFER*/
441   { "sub",   2,2,4,0x6F00, 0xFF00, {OP_Smem,OPT|OP_SHIFT,OP_SRC,OPT|OP_DST}, 
442     FL_EXT|FL_SMR, 0x0C20, 0xFCE0 },
443   { "sub",   1,3,3,0xA200, 0xFE00, {OP_Xmem,OP_Ymem,OP_DST}, },
444   { "sub",   2,2,4,0xF010, 0xFCF0, {OP_lk,OPT|OP_SHFT,OP_SRC,OPT|OP_DST}, },
445   { "sub",   2,3,4,0xF061, 0xFCFF, {OP_lk,OP_16,OP_SRC,OPT|OP_DST}, },
446   { "subb",  1,2,2,0x0E00, 0xFE00, {OP_Smem,OP_SRC1}, FL_SMR },
447   { "subc",  1,2,2,0x1E00, 0xFE00, {OP_Smem,OP_SRC1}, FL_SMR },
448   { "subs",  1,2,2,0x0A00, 0xFE00, {OP_Smem,OP_SRC1}, FL_SMR },
449   { "trap",  1,1,1,0xF4C0, 0xFFE0, {OP_031}, B_BRANCH|FL_NR, },
450   { "writa", 1,1,1,0x7F00, 0xFF00, {OP_Smem}, FL_SMR },
451   { "xc",    1,2,4,0xFD00, 0xFD00, {OP_12,OP_CC,OPT|OP_CC,OPT|OP_CC}, FL_NR, },
452   { "xor",   1,1,3,0xF0C0, 0xFCE0, {OP_SRC,OPT|OP_SHIFT,OPT|OP_DST}, },/*SRC*/
453   { "xor",   1,2,2,0x1C00, 0xFE00, {OP_Smem,OP_SRC1}, FL_SMR },
454   { "xor",   2,2,4,0xF050, 0xFCF0, {OP_lku,OPT|OP_SHFT,OP_SRC,OPT|OP_DST}, },
455   { "xor",   2,3,4,0xF065, 0xFCFF, {OP_lku,OP_16,OP_SRC,OPT|OP_DST}, },
456   { "xorm",  2,2,2,0x6A00, 0xFF00, {OP_lku,OP_Smem}, FL_NR|FL_SMR, },
457   { NULL, },
458 };
459
460 /* assume all parallel instructions have at least three operands */
461 const partemplate tic54x_paroptab[] = {
462   { "ld","mac", 1,1,2,0xA800, 0xFE00, {OP_Xmem,OP_DST},{OP_Ymem,OPT|OP_RND},},
463   { "ld","macr",1,1,2,0xAA00, 0xFE00, {OP_Xmem,OP_DST},{OP_Ymem,OPT|OP_RND},},
464   { "ld","mas", 1,1,2,0xAC00, 0xFE00, {OP_Xmem,OP_DST},{OP_Ymem,OPT|OP_RND},},
465   { "ld","masr",1,1,2,0xAE00, 0xFE00, {OP_Xmem,OP_DST},{OP_Ymem,OPT|OP_RND},},
466   { "st","add", 1,2,2,0xC000, 0xFC00, {OP_SRC,OP_Ymem},{OP_Xmem,OP_DST}, },
467   { "st","ld",  1,2,2,0xC800, 0xFC00, {OP_SRC,OP_Ymem},{OP_Xmem,OP_DST}, },
468   { "st","ld",  1,2,2,0xE400, 0xFC00, {OP_SRC,OP_Ymem},{OP_Xmem,OP_T}, },
469   { "st","mac", 1,2,2,0xD000, 0xFC00, {OP_SRC,OP_Ymem},{OP_Xmem,OP_DST}, },
470   { "st","macr",1,2,2,0xD400, 0xFC00, {OP_SRC,OP_Ymem},{OP_Xmem,OP_DST}, },
471   { "st","mas", 1,2,2,0xD800, 0xFC00, {OP_SRC,OP_Ymem},{OP_Xmem,OP_DST}, },
472   { "st","masr",1,2,2,0xDC00, 0xFC00, {OP_SRC,OP_Ymem},{OP_Xmem,OP_DST}, },
473   { "st","mpy", 1,2,2,0xCC00, 0xFC00, {OP_SRC,OP_Ymem},{OP_Xmem,OP_DST}, },
474   { "st","sub", 1,2,2,0xC400, 0xFC00, {OP_SRC,OP_Ymem},{OP_Xmem,OP_DST}, },
475   { NULL,NULL },
476 };