OSDN Git Service

* target-def.h (TARGET_MACHINE_DEPENDENT_REORG): Define.
[pf3gnuchains/gcc-fork.git] / gcc / config / pa / pa.h
1 /* Definitions of target machine for GNU compiler, for the HP Spectrum.
2    Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000,
3    2001, 2002, 2003 Free Software Foundation, Inc.
4    Contributed by Michael Tiemann (tiemann@cygnus.com) of Cygnus Support
5    and Tim Moore (moore@defmacro.cs.utah.edu) of the Center for
6    Software Science at the University of Utah.
7
8 This file is part of GNU CC.
9
10 GNU CC is free software; you can redistribute it and/or modify
11 it under the terms of the GNU General Public License as published by
12 the Free Software Foundation; either version 2, or (at your option)
13 any later version.
14
15 GNU CC is distributed in the hope that it will be useful,
16 but WITHOUT ANY WARRANTY; without even the implied warranty of
17 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18 GNU General Public License for more details.
19
20 You should have received a copy of the GNU General Public License
21 along with GNU CC; see the file COPYING.  If not, write to
22 the Free Software Foundation, 59 Temple Place - Suite 330,
23 Boston, MA 02111-1307, USA.  */
24
25 enum cmp_type                           /* comparison type */
26 {
27   CMP_SI,                               /* compare integers */
28   CMP_SF,                               /* compare single precision floats */
29   CMP_DF,                               /* compare double precision floats */
30   CMP_MAX                               /* max comparison type */
31 };
32
33 /* For long call handling.  */
34 extern unsigned long total_code_bytes;
35
36 /* Which processor to schedule for.  */
37
38 enum processor_type
39 {
40   PROCESSOR_700,
41   PROCESSOR_7100,
42   PROCESSOR_7100LC,
43   PROCESSOR_7200,
44   PROCESSOR_7300,
45   PROCESSOR_8000
46 };
47
48 /* For -mschedule= option.  */
49 extern const char *pa_cpu_string;
50 extern enum processor_type pa_cpu;
51
52 #define pa_cpu_attr ((enum attr_cpu)pa_cpu)
53
54 /* Which architecture to generate code for.  */
55
56 enum architecture_type
57 {
58   ARCHITECTURE_10,
59   ARCHITECTURE_11,
60   ARCHITECTURE_20
61 };
62
63 struct rtx_def;
64
65 /* For -march= option.  */
66 extern const char *pa_arch_string;
67 extern enum architecture_type pa_arch;
68
69 /* Print subsidiary information on the compiler version in use.  */
70
71 #define TARGET_VERSION fputs (" (hppa)", stderr);
72
73 /* Run-time compilation parameters selecting different hardware subsets.  */
74
75 extern int target_flags;
76
77 /* compile code for HP-PA 1.1 ("Snake").  */
78
79 #define MASK_PA_11 1
80
81 /* Disable all FP registers (they all become fixed).  This may be necessary
82    for compiling kernels which perform lazy context switching of FP regs.
83    Note if you use this option and try to perform floating point operations
84    the compiler will abort!  */
85
86 #define MASK_DISABLE_FPREGS 2
87 #define TARGET_DISABLE_FPREGS (target_flags & MASK_DISABLE_FPREGS)
88
89 /* Generate code which assumes that all space register are equivalent.
90    Triggers aggressive unscaled index addressing and faster
91    builtin_return_address.  */
92 #define MASK_NO_SPACE_REGS 4
93 #define TARGET_NO_SPACE_REGS (target_flags & MASK_NO_SPACE_REGS)
94
95 /* Allow unconditional jumps in the delay slots of call instructions.  */
96 #define MASK_JUMP_IN_DELAY 8
97 #define TARGET_JUMP_IN_DELAY (target_flags & MASK_JUMP_IN_DELAY)
98
99 /* Disable indexed addressing modes.  */
100 #define MASK_DISABLE_INDEXING 32
101 #define TARGET_DISABLE_INDEXING (target_flags & MASK_DISABLE_INDEXING)
102
103 /* Emit code which follows the new portable runtime calling conventions
104    HP wants everyone to use for ELF objects.  If at all possible you want
105    to avoid this since it's a performance loss for non-prototyped code.
106
107    Note TARGET_PORTABLE_RUNTIME also forces all calls to use inline
108    long-call stubs which is quite expensive.  */
109 #define MASK_PORTABLE_RUNTIME 64
110 #define TARGET_PORTABLE_RUNTIME (target_flags & MASK_PORTABLE_RUNTIME)
111
112 /* Emit directives only understood by GAS.  This allows parameter
113    relocations to work for static functions.  There is no way
114    to make them work the HP assembler at this time.  */
115 #define MASK_GAS 128
116 #define TARGET_GAS (target_flags & MASK_GAS)
117
118 /* Emit code for processors which do not have an FPU.  */
119 #define MASK_SOFT_FLOAT 256
120 #define TARGET_SOFT_FLOAT (target_flags & MASK_SOFT_FLOAT)
121
122 /* Use 3-insn load/store sequences for access to large data segments
123    in shared libraries on hpux10.  */
124 #define MASK_LONG_LOAD_STORE 512
125 #define TARGET_LONG_LOAD_STORE (target_flags & MASK_LONG_LOAD_STORE)
126
127 /* Use a faster sequence for indirect calls.  This assumes that calls
128    through function pointers will never cross a space boundary, and
129    that the executable is not dynamically linked.  Such assumptions
130    are generally safe for building kernels and statically linked
131    executables.  Code compiled with this option will fail miserably if
132    the executable is dynamically linked or uses nested functions!  */
133 #define MASK_FAST_INDIRECT_CALLS 1024
134 #define TARGET_FAST_INDIRECT_CALLS (target_flags & MASK_FAST_INDIRECT_CALLS)
135
136 /* Generate code with big switch statements to avoid out of range branches
137    occurring within the switch table.  */
138 #define MASK_BIG_SWITCH 2048
139 #define TARGET_BIG_SWITCH (target_flags & MASK_BIG_SWITCH)
140
141 /* Generate code for the HPPA 2.0 architecture.  TARGET_PA_11 should also be
142    true when this is true.  */
143 #define MASK_PA_20 4096
144
145 /* Generate cpp defines for server I/O.  */
146 #define MASK_SIO 8192
147 #define TARGET_SIO (target_flags & MASK_SIO)
148
149 /* Assume GNU linker by default.  */
150 #define MASK_GNU_LD 16384
151 #ifndef TARGET_GNU_LD
152 #define TARGET_GNU_LD (target_flags & MASK_GNU_LD)
153 #endif
154
155 /* Force generation of long calls.  */
156 #define MASK_LONG_CALLS 32768
157 #ifndef TARGET_LONG_CALLS
158 #define TARGET_LONG_CALLS (target_flags & MASK_LONG_CALLS)
159 #endif
160
161 #ifndef TARGET_PA_10
162 #define TARGET_PA_10 (target_flags & (MASK_PA_11 | MASK_PA_20) == 0)
163 #endif
164
165 #ifndef TARGET_PA_11
166 #define TARGET_PA_11 (target_flags & MASK_PA_11)
167 #endif
168
169 #ifndef TARGET_PA_20
170 #define TARGET_PA_20 (target_flags & MASK_PA_20)
171 #endif
172
173 /* Generate code for the HPPA 2.0 architecture in 64bit mode.  */
174 #ifndef TARGET_64BIT
175 #define TARGET_64BIT 0
176 #endif
177
178 /* Generate code for ELF32 ABI.  */
179 #ifndef TARGET_ELF32
180 #define TARGET_ELF32 0
181 #endif
182
183 /* Generate code for SOM 32bit ABI.  */
184 #ifndef TARGET_SOM
185 #define TARGET_SOM 0
186 #endif
187
188 /* The following three defines are potential target switches.  The current
189    defines are optimal given the current capabilities of GAS and GNU ld.  */
190
191 /* Define to a C expression evaluating to true to use long absolute calls.
192    Currently, only the HP assembler and SOM linker support long absolute
193    calls.  They are used only in non-pic code.  */
194 #define TARGET_LONG_ABS_CALL (TARGET_SOM && !TARGET_GAS)
195
196 /* Define to a C expression evaluating to true to use long pic symbol
197    difference calls.  This is a call variant similar to the long pic
198    pc-relative call.  Long pic symbol difference calls are only used with
199    the HP SOM linker.  Currently, only the HP assembler supports these
200    calls.  GAS doesn't allow an arbritrary difference of two symbols.  */
201 #define TARGET_LONG_PIC_SDIFF_CALL (!TARGET_GAS)
202
203 /* Define to a C expression evaluating to true to use long pic
204    pc-relative calls.  Long pic pc-relative calls are only used with
205    GAS.  Currently, they are usable for calls within a module but
206    not for external calls.  */
207 #define TARGET_LONG_PIC_PCREL_CALL 0
208
209 /* Define to a C expression evaluating to true to use SOM secondary
210    definition symbols for weak support.  Linker support for secondary
211    definition symbols is buggy prior to HP-UX 11.X.  */
212 #define TARGET_SOM_SDEF 0
213
214 /* Define to a C expression evaluating to true to save the entry value
215    of SP in the current frame marker.  This is normally unnecessary.
216    However, the HP-UX unwind library looks at the SAVE_SP callinfo flag.
217    HP compilers don't use this flag but it is supported by the assembler.
218    We set this flag to indicate that register %r3 has been saved at the
219    start of the frame.  Thus, when the HP unwind library is used, we
220    need to generate additional code to save SP into the frame marker.  */
221 #define TARGET_HPUX_UNWIND_LIBRARY 0
222
223 /* Macro to define tables used to set the flags.  This is a
224    list in braces of target switches with each switch being
225    { "NAME", VALUE, "HELP_STRING" }.  VALUE is the bits to set,
226    or minus the bits to clear.  An empty string NAME is used to
227    identify the default VALUE.  Do not mark empty strings for
228    translation.  */
229
230 #define TARGET_SWITCHES \
231   {{ "snake",                    MASK_PA_11,                            \
232      N_("Generate PA1.1 code") },                                       \
233    { "nosnake",                 -(MASK_PA_11 | MASK_PA_20),             \
234      N_("Generate PA1.0 code") },                                       \
235    { "pa-risc-1-0",             -(MASK_PA_11 | MASK_PA_20),             \
236      N_("Generate PA1.0 code") },                                       \
237    { "pa-risc-1-1",              MASK_PA_11,                            \
238      N_("Generate PA1.1 code") },                                       \
239    { "pa-risc-2-0",              MASK_PA_20,                            \
240      N_("Generate PA2.0 code (requires binutils 2.10 or later)") },     \
241    { "disable-fpregs",           MASK_DISABLE_FPREGS,                   \
242      N_("Disable FP regs") },                                           \
243    { "no-disable-fpregs",       -MASK_DISABLE_FPREGS,                   \
244      N_("Do not disable FP regs") },                                    \
245    { "no-space-regs",            MASK_NO_SPACE_REGS,                    \
246      N_("Disable space regs") },                                        \
247    { "space-regs",              -MASK_NO_SPACE_REGS,                    \
248      N_("Do not disable space regs") },                                 \
249    { "jump-in-delay",            MASK_JUMP_IN_DELAY,                    \
250      N_("Put jumps in call delay slots") },                             \
251    { "no-jump-in-delay",        -MASK_JUMP_IN_DELAY,                    \
252      N_("Do not put jumps in call delay slots") },                      \
253    { "disable-indexing",         MASK_DISABLE_INDEXING,                 \
254      N_("Disable indexed addressing") },                                \
255    { "no-disable-indexing",     -MASK_DISABLE_INDEXING,                 \
256      N_("Do not disable indexed addressing") },                         \
257    { "portable-runtime",         MASK_PORTABLE_RUNTIME,                 \
258      N_("Use portable calling conventions") },                          \
259    { "no-portable-runtime",     -MASK_PORTABLE_RUNTIME,                 \
260      N_("Do not use portable calling conventions") },                   \
261    { "gas",                      MASK_GAS,                              \
262      N_("Assume code will be assembled by GAS") },                      \
263    { "no-gas",                  -MASK_GAS,                              \
264      N_("Do not assume code will be assembled by GAS") },               \
265    { "soft-float",               MASK_SOFT_FLOAT,                       \
266      N_("Use software floating point") },                               \
267    { "no-soft-float",           -MASK_SOFT_FLOAT,                       \
268      N_("Do not use software floating point") },                        \
269    { "long-load-store",          MASK_LONG_LOAD_STORE,                  \
270      N_("Emit long load/store sequences") },                            \
271    { "no-long-load-store",      -MASK_LONG_LOAD_STORE,                  \
272      N_("Do not emit long load/store sequences") },                     \
273    { "fast-indirect-calls",      MASK_FAST_INDIRECT_CALLS,              \
274      N_("Generate fast indirect calls") },                              \
275    { "no-fast-indirect-calls",  -MASK_FAST_INDIRECT_CALLS,              \
276      N_("Do not generate fast indirect calls") },                       \
277    { "big-switch",               MASK_BIG_SWITCH,                       \
278      N_("Generate code for huge switch statements") },                  \
279    { "no-big-switch",           -MASK_BIG_SWITCH,                       \
280      N_("Do not generate code for huge switch statements") },           \
281    { "long-calls",               MASK_LONG_CALLS,                       \
282      N_("Always generate long calls") },                                \
283    { "no-long-calls",           -MASK_LONG_CALLS,                       \
284      N_("Generate long calls only when needed") },                      \
285    { "linker-opt",               0,                                     \
286      N_("Enable linker optimizations") },                               \
287    SUBTARGET_SWITCHES                                                   \
288    { "",                         TARGET_DEFAULT | TARGET_CPU_DEFAULT,   \
289      NULL }}
290
291 #ifndef TARGET_DEFAULT
292 #define TARGET_DEFAULT (MASK_GAS | MASK_JUMP_IN_DELAY)
293 #endif
294
295 #ifndef TARGET_CPU_DEFAULT
296 #define TARGET_CPU_DEFAULT 0
297 #endif
298
299 #ifndef SUBTARGET_SWITCHES
300 #define SUBTARGET_SWITCHES
301 #endif
302
303 #ifndef TARGET_SCHED_DEFAULT
304 #define TARGET_SCHED_DEFAULT "8000"
305 #endif
306
307 #define TARGET_OPTIONS                                                  \
308 {                                                                       \
309   { "schedule=",                &pa_cpu_string,                         \
310     N_("Specify CPU for scheduling purposes"), 0},                      \
311   { "arch=",                    &pa_arch_string,                        \
312     N_("Specify architecture for code generation.  Values are 1.0, 1.1, and 2.0.  2.0 requires gas snapshot 19990413 or later."), 0}\
313 }
314
315 /* Specify the dialect of assembler to use.  New mnemonics is dialect one
316    and the old mnemonics are dialect zero.  */
317 #define ASSEMBLER_DIALECT (TARGET_PA_20 ? 1 : 0)
318
319 #define OVERRIDE_OPTIONS override_options ()
320
321 /* stabs-in-som is nearly identical to stabs-in-elf.  To avoid useless
322    code duplication we simply include this file and override as needed.  */
323 #include "dbxelf.h"
324
325 /* We do not have to be compatible with dbx, so we enable gdb extensions
326    by default.  */
327 #define DEFAULT_GDB_EXTENSIONS 1
328
329 /* This used to be zero (no max length), but big enums and such can
330    cause huge strings which killed gas.
331
332    We also have to avoid lossage in dbxout.c -- it does not compute the
333    string size accurately, so we are real conservative here.  */
334 #undef DBX_CONTIN_LENGTH
335 #define DBX_CONTIN_LENGTH 3000
336
337 /* Only labels should ever begin in column zero.  */
338 #define ASM_STABS_OP "\t.stabs\t"
339 #define ASM_STABN_OP "\t.stabn\t"
340
341 /* GDB always assumes the current function's frame begins at the value
342    of the stack pointer upon entry to the current function.  Accessing
343    local variables and parameters passed on the stack is done using the
344    base of the frame + an offset provided by GCC.
345
346    For functions which have frame pointers this method works fine;
347    the (frame pointer) == (stack pointer at function entry) and GCC provides
348    an offset relative to the frame pointer.
349
350    This loses for functions without a frame pointer; GCC provides an offset
351    which is relative to the stack pointer after adjusting for the function's
352    frame size.  GDB would prefer the offset to be relative to the value of
353    the stack pointer at the function's entry.  Yuk!  */
354 #define DEBUGGER_AUTO_OFFSET(X) \
355   ((GET_CODE (X) == PLUS ? INTVAL (XEXP (X, 1)) : 0) \
356     + (frame_pointer_needed ? 0 : compute_frame_size (get_frame_size (), 0)))
357
358 #define DEBUGGER_ARG_OFFSET(OFFSET, X) \
359   ((GET_CODE (X) == PLUS ? OFFSET : 0) \
360     + (frame_pointer_needed ? 0 : compute_frame_size (get_frame_size (), 0)))
361
362 #define TARGET_CPU_CPP_BUILTINS()                               \
363 do {                                                            \
364      builtin_assert("cpu=hppa");                                \
365      builtin_assert("machine=hppa");                            \
366      builtin_define("__hppa");                                  \
367      builtin_define("__hppa__");                                \
368      if (TARGET_PA_20)                                          \
369        builtin_define("_PA_RISC2_0");                           \
370      else if (TARGET_PA_11)                                     \
371        builtin_define("_PA_RISC1_1");                           \
372      else                                                       \
373        builtin_define("_PA_RISC1_0");                           \
374 } while (0)
375
376 /* An old set of OS defines for various BSD-like systems.  */
377 #define TARGET_OS_CPP_BUILTINS()                                \
378   do                                                            \
379     {                                                           \
380         builtin_define_std ("REVARGV");                         \
381         builtin_define_std ("hp800");                           \
382         builtin_define_std ("hp9000");                          \
383         builtin_define_std ("hp9k8");                           \
384         if (c_language != clk_cplusplus                         \
385             && !flag_iso)                                       \
386           builtin_define ("hppa");                              \
387         builtin_define_std ("spectrum");                        \
388         builtin_define_std ("unix");                            \
389         builtin_assert ("system=bsd");                          \
390         builtin_assert ("system=unix");                         \
391     }                                                           \
392   while (0)
393
394 #define CC1_SPEC "%{pg:} %{p:}"
395
396 #define LINK_SPEC "%{mlinker-opt:-O} %{!shared:-u main} %{shared:-b}"
397
398 /* We don't want -lg.  */
399 #ifndef LIB_SPEC
400 #define LIB_SPEC "%{!p:%{!pg:-lc}}%{p:-lc_p}%{pg:-lc_p}"
401 #endif
402
403 /* This macro defines command-line switches that modify the default
404    target name.
405
406    The definition is be an initializer for an array of structures.  Each
407    array element has have three elements: the switch name, one of the
408    enumeration codes ADD or DELETE to indicate whether the string should be
409    inserted or deleted, and the string to be inserted or deleted.  */
410 #define MODIFY_TARGET_NAME {{"-32", DELETE, "64"}, {"-64", ADD, "64"}}
411
412 /* Make gcc agree with <machine/ansi.h> */
413
414 #define SIZE_TYPE "unsigned int"
415 #define PTRDIFF_TYPE "int"
416 #define WCHAR_TYPE "unsigned int"
417 #define WCHAR_TYPE_SIZE 32
418
419 /* Show we can debug even without a frame pointer.  */
420 #define CAN_DEBUG_WITHOUT_FP
421 \f
422 /* target machine storage layout */
423
424 /* Define this macro if it is advisable to hold scalars in registers
425    in a wider mode than that declared by the program.  In such cases, 
426    the value is constrained to be within the bounds of the declared
427    type, but kept valid in the wider mode.  The signedness of the
428    extension may differ from that of the type.  */
429
430 #define PROMOTE_MODE(MODE,UNSIGNEDP,TYPE)  \
431   if (GET_MODE_CLASS (MODE) == MODE_INT \
432       && GET_MODE_SIZE (MODE) < UNITS_PER_WORD)         \
433     (MODE) = word_mode;
434
435 /* Define this if most significant bit is lowest numbered
436    in instructions that operate on numbered bit-fields.  */
437 #define BITS_BIG_ENDIAN 1
438
439 /* Define this if most significant byte of a word is the lowest numbered.  */
440 /* That is true on the HP-PA.  */
441 #define BYTES_BIG_ENDIAN 1
442
443 /* Define this if most significant word of a multiword number is lowest
444    numbered.  */
445 #define WORDS_BIG_ENDIAN 1
446
447 #define MAX_BITS_PER_WORD 64
448 #define MAX_LONG_TYPE_SIZE 32
449
450 /* Width of a word, in units (bytes).  */
451 #define UNITS_PER_WORD (TARGET_64BIT ? 8 : 4)
452 #define MIN_UNITS_PER_WORD 4
453
454 /* Allocation boundary (in *bits*) for storing arguments in argument list.  */
455 #define PARM_BOUNDARY BITS_PER_WORD
456
457 /* Largest alignment required for any stack parameter, in bits.
458    Don't define this if it is equal to PARM_BOUNDARY */
459 #define MAX_PARM_BOUNDARY BIGGEST_ALIGNMENT
460
461 /* Boundary (in *bits*) on which stack pointer is always aligned;
462    certain optimizations in combine depend on this.
463
464    The HP-UX runtime documents mandate 64-byte and 16-byte alignment for
465    the stack on the 32 and 64-bit ports, respectively.  However, we
466    are only guaranteed that the stack is aligned to BIGGEST_ALIGNMENT
467    in main.  Thus, we treat the former as the preferred alignment.  */
468 #define STACK_BOUNDARY BIGGEST_ALIGNMENT
469 #define PREFERRED_STACK_BOUNDARY (TARGET_64BIT ? 128 : 512)
470
471 /* Allocation boundary (in *bits*) for the code of a function.  */
472 #define FUNCTION_BOUNDARY BITS_PER_WORD
473
474 /* Alignment of field after `int : 0' in a structure.  */
475 #define EMPTY_FIELD_BOUNDARY 32
476
477 /* Every structure's size must be a multiple of this.  */
478 #define STRUCTURE_SIZE_BOUNDARY 8
479
480 /* A bit-field declared as `int' forces `int' alignment for the struct.  */
481 #define PCC_BITFIELD_TYPE_MATTERS 1
482
483 /* No data type wants to be aligned rounder than this.  */
484 #define BIGGEST_ALIGNMENT (2 * BITS_PER_WORD)
485
486 /* Get around hp-ux assembler bug, and make strcpy of constants fast.  */
487 #define CONSTANT_ALIGNMENT(CODE, TYPEALIGN) \
488   ((TYPEALIGN) < 32 ? 32 : (TYPEALIGN))
489
490 /* Make arrays of chars word-aligned for the same reasons.  */
491 #define DATA_ALIGNMENT(TYPE, ALIGN)             \
492   (TREE_CODE (TYPE) == ARRAY_TYPE               \
493    && TYPE_MODE (TREE_TYPE (TYPE)) == QImode    \
494    && (ALIGN) < BITS_PER_WORD ? BITS_PER_WORD : (ALIGN))
495
496 /* Set this nonzero if move instructions will actually fail to work
497    when given unaligned data.  */
498 #define STRICT_ALIGNMENT 1
499
500 /* Generate calls to memcpy, memcmp and memset.  */
501 #define TARGET_MEM_FUNCTIONS
502
503 /* Value is 1 if it is a good idea to tie two pseudo registers
504    when one has mode MODE1 and one has mode MODE2.
505    If HARD_REGNO_MODE_OK could produce different values for MODE1 and MODE2,
506    for any hard reg, then this must be 0 for correct output.  */
507 #define MODES_TIEABLE_P(MODE1, MODE2) \
508   (GET_MODE_CLASS (MODE1) == GET_MODE_CLASS (MODE2))
509
510 /* Specify the registers used for certain standard purposes.
511    The values of these macros are register numbers.  */
512
513 /* The HP-PA pc isn't overloaded on a register that the compiler knows about.  */
514 /* #define PC_REGNUM  */
515
516 /* Register to use for pushing function arguments.  */
517 #define STACK_POINTER_REGNUM 30
518
519 /* Base register for access to local variables of the function.  */
520 #define FRAME_POINTER_REGNUM 3
521
522 /* Value should be nonzero if functions must have frame pointers.  */
523 #define FRAME_POINTER_REQUIRED \
524   (current_function_calls_alloca)
525
526 /* C statement to store the difference between the frame pointer
527    and the stack pointer values immediately after the function prologue.
528
529    Note, we always pretend that this is a leaf function because if
530    it's not, there's no point in trying to eliminate the
531    frame pointer.  If it is a leaf function, we guessed right!  */
532 #define INITIAL_FRAME_POINTER_OFFSET(VAR) \
533   do {(VAR) = - compute_frame_size (get_frame_size (), 0);} while (0)
534
535 /* Base register for access to arguments of the function.  */
536 #define ARG_POINTER_REGNUM 3
537
538 /* Register in which static-chain is passed to a function.  */
539 #define STATIC_CHAIN_REGNUM 29
540
541 /* Register which holds offset table for position-independent
542    data references.  */
543
544 #define PIC_OFFSET_TABLE_REGNUM (TARGET_64BIT ? 27 : 19)
545 #define PIC_OFFSET_TABLE_REG_CALL_CLOBBERED 1
546
547 /* Function to return the rtx used to save the pic offset table register
548    across function calls.  */
549 extern struct rtx_def *hppa_pic_save_rtx PARAMS ((void));
550
551 #define DEFAULT_PCC_STRUCT_RETURN 0
552
553 /* SOM ABI says that objects larger than 64 bits are returned in memory.
554    PA64 ABI says that objects larger than 128 bits are returned in memory.
555    Note, int_size_in_bytes can return -1 if the size of the object is
556    variable or larger than the maximum value that can be expressed as
557    a HOST_WIDE_INT.   It can also return zero for an empty type.  The
558    simplest way to handle variable and empty types is to pass them in
559    memory.  This avoids problems in defining the boundaries of argument
560    slots, allocating registers, etc.  */
561 #define RETURN_IN_MEMORY(TYPE)  \
562   (int_size_in_bytes (TYPE) > (TARGET_64BIT ? 16 : 8)   \
563    || int_size_in_bytes (TYPE) <= 0)
564
565 /* Register in which address to store a structure value
566    is passed to a function.  */
567 #define STRUCT_VALUE_REGNUM 28
568
569 /* Describe how we implement __builtin_eh_return.  */
570 #define EH_RETURN_DATA_REGNO(N) \
571   ((N) < 3 ? (N) + 20 : (N) == 3 ? 31 : INVALID_REGNUM)
572 #define EH_RETURN_STACKADJ_RTX  gen_rtx_REG (Pmode, 29)
573 #define EH_RETURN_HANDLER_RTX \
574   gen_rtx_MEM (word_mode,                                               \
575                gen_rtx_PLUS (word_mode, frame_pointer_rtx,              \
576                              TARGET_64BIT ? GEN_INT (-16) : GEN_INT (-20)))
577                                 
578
579 /* Offset from the argument pointer register value to the top of
580    stack.  This is different from FIRST_PARM_OFFSET because of the
581    frame marker.  */
582 #define ARG_POINTER_CFA_OFFSET(FNDECL) 0
583 \f
584 /* The letters I, J, K, L and M in a register constraint string
585    can be used to stand for particular ranges of immediate operands.
586    This macro defines what the ranges are.
587    C is the letter, and VALUE is a constant value.
588    Return 1 if VALUE is in the range specified by C.
589
590    `I' is used for the 11 bit constants.
591    `J' is used for the 14 bit constants.
592    `K' is used for values that can be moved with a zdepi insn.
593    `L' is used for the 5 bit constants.
594    `M' is used for 0.
595    `N' is used for values with the least significant 11 bits equal to zero
596                           and when sign extended from 32 to 64 bits the
597                           value does not change.
598    `O' is used for numbers n such that n+1 is a power of 2.
599    */
600
601 #define CONST_OK_FOR_LETTER_P(VALUE, C)  \
602   ((C) == 'I' ? VAL_11_BITS_P (VALUE)                                   \
603    : (C) == 'J' ? VAL_14_BITS_P (VALUE)                                 \
604    : (C) == 'K' ? zdepi_cint_p (VALUE)                                  \
605    : (C) == 'L' ? VAL_5_BITS_P (VALUE)                                  \
606    : (C) == 'M' ? (VALUE) == 0                                          \
607    : (C) == 'N' ? (((VALUE) & (((HOST_WIDE_INT) -1 << 31) | 0x7ff)) == 0 \
608                    || (((VALUE) & (((HOST_WIDE_INT) -1 << 31) | 0x7ff)) \
609                        == (HOST_WIDE_INT) -1 << 31))                    \
610    : (C) == 'O' ? (((VALUE) & ((VALUE) + 1)) == 0)                      \
611    : (C) == 'P' ? and_mask_p (VALUE)                                    \
612    : 0)
613
614 /* Similar, but for floating or large integer constants, and defining letters
615    G and H.   Here VALUE is the CONST_DOUBLE rtx itself.
616
617    For PA, `G' is the floating-point constant zero.  `H' is undefined.  */
618
619 #define CONST_DOUBLE_OK_FOR_LETTER_P(VALUE, C)                          \
620   ((C) == 'G' ? (GET_MODE_CLASS (GET_MODE (VALUE)) == MODE_FLOAT        \
621                  && (VALUE) == CONST0_RTX (GET_MODE (VALUE)))           \
622    : 0)
623
624 /* The class value for index registers, and the one for base regs.  */
625 #define INDEX_REG_CLASS GENERAL_REGS
626 #define BASE_REG_CLASS GENERAL_REGS
627
628 #define FP_REG_CLASS_P(CLASS) \
629   ((CLASS) == FP_REGS || (CLASS) == FPUPPER_REGS)
630
631 /* True if register is floating-point.  */
632 #define FP_REGNO_P(N) ((N) >= FP_REG_FIRST && (N) <= FP_REG_LAST)
633
634 /* Given an rtx X being reloaded into a reg required to be
635    in class CLASS, return the class of reg to actually use.
636    In general this is just CLASS; but on some machines
637    in some cases it is preferable to use a more restrictive class.  */
638 #define PREFERRED_RELOAD_CLASS(X,CLASS) (CLASS)
639
640 /* Return the register class of a scratch register needed to copy IN into
641    or out of a register in CLASS in MODE.  If it can be done directly
642    NO_REGS is returned. 
643
644   Avoid doing any work for the common case calls.  */
645
646 #define SECONDARY_RELOAD_CLASS(CLASS,MODE,IN) \
647   ((CLASS == BASE_REG_CLASS && GET_CODE (IN) == REG             \
648     && REGNO (IN) < FIRST_PSEUDO_REGISTER)                      \
649    ? NO_REGS : secondary_reload_class (CLASS, MODE, IN))
650
651 /* On the PA it is not possible to directly move data between
652    GENERAL_REGS and FP_REGS.  */
653 #define SECONDARY_MEMORY_NEEDED(CLASS1, CLASS2, MODE)  \
654   (FP_REG_CLASS_P (CLASS1) != FP_REG_CLASS_P (CLASS2))
655
656 /* Return the stack location to use for secondary memory needed reloads.  */
657 #define SECONDARY_MEMORY_NEEDED_RTX(MODE) \
658   gen_rtx_MEM (MODE, gen_rtx_PLUS (Pmode, stack_pointer_rtx, GEN_INT (-16)))
659
660 \f
661 /* Stack layout; function entry, exit and calling.  */
662
663 /* Define this if pushing a word on the stack
664    makes the stack pointer a smaller address.  */
665 /* #define STACK_GROWS_DOWNWARD */
666
667 /* Believe it or not.  */
668 #define ARGS_GROW_DOWNWARD
669
670 /* Define this if the nominal address of the stack frame
671    is at the high-address end of the local variables;
672    that is, each additional local variable allocated
673    goes at a more negative offset in the frame.  */
674 /* #define FRAME_GROWS_DOWNWARD */
675
676 /* Offset within stack frame to start allocating local variables at.
677    If FRAME_GROWS_DOWNWARD, this is the offset to the END of the
678    first local allocated.  Otherwise, it is the offset to the BEGINNING
679    of the first local allocated.
680
681    On the 32-bit ports, we reserve one slot for the previous frame
682    pointer and one fill slot.  The fill slot is for compatibility
683    with HP compiled programs.  On the 64-bit ports, we reserve one
684    slot for the previous frame pointer.  */
685 #define STARTING_FRAME_OFFSET 8
686
687 /* Define STACK_ALIGNMENT_NEEDED to zero to disable final alignment
688    of the stack.  The default is to align it to STACK_BOUNDARY.  */
689 #define STACK_ALIGNMENT_NEEDED 0
690
691 /* If we generate an insn to push BYTES bytes,
692    this says how many the stack pointer really advances by.
693    On the HP-PA, don't define this because there are no push insns.  */
694 /*  #define PUSH_ROUNDING(BYTES) */
695
696 /* Offset of first parameter from the argument pointer register value.
697    This value will be negated because the arguments grow down.
698    Also note that on STACK_GROWS_UPWARD machines (such as this one)
699    this is the distance from the frame pointer to the end of the first
700    argument, not it's beginning.  To get the real offset of the first
701    argument, the size of the argument must be added.  */
702
703 #define FIRST_PARM_OFFSET(FNDECL) (TARGET_64BIT ? -64 : -32)
704
705 /* When a parameter is passed in a register, stack space is still
706    allocated for it.  */
707 #define REG_PARM_STACK_SPACE(DECL) (TARGET_64BIT ? 64 : 16)
708
709 /* Define this if the above stack space is to be considered part of the
710    space allocated by the caller.  */
711 #define OUTGOING_REG_PARM_STACK_SPACE
712
713 /* Keep the stack pointer constant throughout the function.
714    This is both an optimization and a necessity: longjmp
715    doesn't behave itself when the stack pointer moves within
716    the function!  */
717 #define ACCUMULATE_OUTGOING_ARGS 1
718
719 /* The weird HPPA calling conventions require a minimum of 48 bytes on
720    the stack: 16 bytes for register saves, and 32 bytes for magic.
721    This is the difference between the logical top of stack and the
722    actual sp.
723
724    On the 64-bit port, the HP C compiler allocates a 48-byte frame
725    marker, although the runtime documentation only describes a 16
726    byte marker.  For compatibility, we allocate 48 bytes.  */
727 #define STACK_POINTER_OFFSET \
728   (TARGET_64BIT ? -(current_function_outgoing_args_size + 48): -32)
729
730 #define STACK_DYNAMIC_OFFSET(FNDECL)    \
731   (TARGET_64BIT                         \
732    ? (STACK_POINTER_OFFSET)             \
733    : ((STACK_POINTER_OFFSET) - current_function_outgoing_args_size))
734
735 /* Value is 1 if returning from a function call automatically
736    pops the arguments described by the number-of-args field in the call.
737    FUNDECL is the declaration node of the function (as a tree),
738    FUNTYPE is the data type of the function (as a tree),
739    or for a library call it is an identifier node for the subroutine name.  */
740
741 #define RETURN_POPS_ARGS(FUNDECL,FUNTYPE,SIZE) 0
742
743 /* Define how to find the value returned by a function.
744    VALTYPE is the data type of the value (as a tree).
745    If the precise function being called is known, FUNC is its FUNCTION_DECL;
746    otherwise, FUNC is 0.  */
747
748 #define FUNCTION_VALUE(VALTYPE, FUNC) function_value (VALTYPE, FUNC)
749
750 /* Define how to find the value returned by a library function
751    assuming the value has mode MODE.  */
752
753 #define LIBCALL_VALUE(MODE)     \
754   gen_rtx_REG (MODE,                                                    \
755                (! TARGET_SOFT_FLOAT                                     \
756                 && ((MODE) == SFmode || (MODE) == DFmode) ? 32 : 28))
757
758 /* 1 if N is a possible register number for a function value
759    as seen by the caller.  */
760
761 #define FUNCTION_VALUE_REGNO_P(N) \
762   ((N) == 28 || (! TARGET_SOFT_FLOAT && (N) == 32))
763
764 \f
765 /* Define a data type for recording info about an argument list
766    during the scan of that argument list.  This data type should
767    hold all necessary information about the function itself
768    and about the args processed so far, enough to enable macros
769    such as FUNCTION_ARG to determine where the next arg should go.
770
771    On the HP-PA, the WORDS field holds the number of words
772    of arguments scanned so far (including the invisible argument,
773    if any, which holds the structure-value-address).  Thus, 4 or
774    more means all following args should go on the stack.
775    
776    The INCOMING field tracks whether this is an "incoming" or
777    "outgoing" argument.
778    
779    The INDIRECT field indicates whether this is is an indirect
780    call or not.
781    
782    The NARGS_PROTOTYPE field indicates that an argument does not
783    have a prototype when it less than or equal to 0.  */
784
785 struct hppa_args {int words, nargs_prototype, incoming, indirect; };
786
787 #define CUMULATIVE_ARGS struct hppa_args
788
789 /* Initialize a variable CUM of type CUMULATIVE_ARGS
790    for a call to a function whose data type is FNTYPE.
791    For a library call, FNTYPE is 0.  */
792
793 #define INIT_CUMULATIVE_ARGS(CUM,FNTYPE,LIBNAME,FNDECL) \
794   (CUM).words = 0,                                                      \
795   (CUM).incoming = 0,                                                   \
796   (CUM).indirect = (FNTYPE) && !(FNDECL),                               \
797   (CUM).nargs_prototype = (FNTYPE && TYPE_ARG_TYPES (FNTYPE)            \
798                            ? (list_length (TYPE_ARG_TYPES (FNTYPE)) - 1 \
799                               + (TYPE_MODE (TREE_TYPE (FNTYPE)) == BLKmode \
800                                  || RETURN_IN_MEMORY (TREE_TYPE (FNTYPE)))) \
801                            : 0)
802
803
804
805 /* Similar, but when scanning the definition of a procedure.  We always
806    set NARGS_PROTOTYPE large so we never return a PARALLEL.  */
807
808 #define INIT_CUMULATIVE_INCOMING_ARGS(CUM,FNTYPE,IGNORE) \
809   (CUM).words = 0,                              \
810   (CUM).incoming = 1,                           \
811   (CUM).indirect = 0,                           \
812   (CUM).nargs_prototype = 1000
813
814 /* Figure out the size in words of the function argument.  The size
815    returned by this macro should always be greater than zero because
816    we pass variable and zero sized objects by reference.  */
817
818 #define FUNCTION_ARG_SIZE(MODE, TYPE)   \
819   ((((MODE) != BLKmode \
820      ? (HOST_WIDE_INT) GET_MODE_SIZE (MODE) \
821      : int_size_in_bytes (TYPE)) + UNITS_PER_WORD - 1) / UNITS_PER_WORD)
822
823 /* Update the data in CUM to advance over an argument
824    of mode MODE and data type TYPE.
825    (TYPE is null for libcalls where that information may not be available.)  */
826
827 #define FUNCTION_ARG_ADVANCE(CUM, MODE, TYPE, NAMED)                    \
828 { (CUM).nargs_prototype--;                                              \
829   (CUM).words += FUNCTION_ARG_SIZE(MODE, TYPE)                          \
830     + (((CUM).words & 01) && (TYPE) != 0                                \
831         && FUNCTION_ARG_SIZE(MODE, TYPE) > 1);                          \
832 }
833
834 /* Determine where to put an argument to a function.
835    Value is zero to push the argument on the stack,
836    or a hard register in which to store the argument.
837
838    MODE is the argument's machine mode.
839    TYPE is the data type of the argument (as a tree).
840     This is null for libcalls where that information may
841     not be available.
842    CUM is a variable of type CUMULATIVE_ARGS which gives info about
843     the preceding args and about the function being called.
844    NAMED is nonzero if this argument is a named parameter
845     (otherwise it is an extra parameter matching an ellipsis).
846
847    On the HP-PA the first four words of args are normally in registers
848    and the rest are pushed.  But any arg that won't entirely fit in regs
849    is pushed.
850
851    Arguments passed in registers are either 1 or 2 words long.
852
853    The caller must make a distinction between calls to explicitly named
854    functions and calls through pointers to functions -- the conventions
855    are different!  Calls through pointers to functions only use general
856    registers for the first four argument words.
857
858    Of course all this is different for the portable runtime model
859    HP wants everyone to use for ELF.  Ugh.  Here's a quick description
860    of how it's supposed to work.
861
862    1) callee side remains unchanged.  It expects integer args to be
863    in the integer registers, float args in the float registers and
864    unnamed args in integer registers.
865
866    2) caller side now depends on if the function being called has
867    a prototype in scope (rather than if it's being called indirectly).
868
869       2a) If there is a prototype in scope, then arguments are passed
870       according to their type (ints in integer registers, floats in float
871       registers, unnamed args in integer registers.
872
873       2b) If there is no prototype in scope, then floating point arguments
874       are passed in both integer and float registers.  egad.
875
876   FYI: The portable parameter passing conventions are almost exactly like
877   the standard parameter passing conventions on the RS6000.  That's why
878   you'll see lots of similar code in rs6000.h.  */
879
880 #define FUNCTION_ARG_PADDING(MODE, TYPE) function_arg_padding ((MODE), (TYPE))
881
882 /* Do not expect to understand this without reading it several times.  I'm
883    tempted to try and simply it, but I worry about breaking something.  */
884
885 #define FUNCTION_ARG(CUM, MODE, TYPE, NAMED) \
886   function_arg (&CUM, MODE, TYPE, NAMED)
887
888 /* Nonzero if we do not know how to pass TYPE solely in registers.  */
889 #define MUST_PASS_IN_STACK(MODE,TYPE) \
890   ((TYPE) != 0                                                  \
891    && (TREE_CODE (TYPE_SIZE (TYPE)) != INTEGER_CST              \
892        || TREE_ADDRESSABLE (TYPE)))
893
894 /* For an arg passed partly in registers and partly in memory,
895    this is the number of registers used.
896    For args passed entirely in registers or entirely in memory, zero.  */
897
898 /* For PA32 there are never split arguments. PA64, on the other hand, can
899    pass arguments partially in registers and partially in memory.  */
900 #define FUNCTION_ARG_PARTIAL_NREGS(CUM, MODE, TYPE, NAMED) \
901   (TARGET_64BIT ? function_arg_partial_nregs (&CUM, MODE, TYPE, NAMED) : 0)
902
903 /* If defined, a C expression that gives the alignment boundary, in
904    bits, of an argument with the specified mode and type.  If it is
905    not defined,  `PARM_BOUNDARY' is used for all arguments.  */
906
907 /* Arguments larger than one word are double word aligned.  */
908
909 #define FUNCTION_ARG_BOUNDARY(MODE, TYPE)                               \
910   (((TYPE)                                                              \
911     ? (integer_zerop (TYPE_SIZE (TYPE))                                 \
912        || !TREE_CONSTANT (TYPE_SIZE (TYPE))                             \
913        || int_size_in_bytes (TYPE) <= UNITS_PER_WORD)                   \
914     : GET_MODE_SIZE(MODE) <= UNITS_PER_WORD)                            \
915    ? PARM_BOUNDARY : MAX_PARM_BOUNDARY)
916
917 /* In the 32-bit runtime, arguments larger than eight bytes are passed
918    by invisible reference.  As a GCC extension, we also pass anything
919    with a zero or variable size by reference.
920
921    The 64-bit runtime does not describe passing any types by invisible
922    reference.  The internals of GCC can't currently handle passing
923    empty structures, and zero or variable length arrays when they are
924    not passed entirely on the stack or by reference.  Thus, as a GCC
925    extension, we pass these types by reference.  The HP compiler doesn't
926    support these types, so hopefully there shouldn't be any compatibility
927    issues.  This may have to be revisited when HP releases a C99 compiler
928    or updates the ABI.  */
929 #define FUNCTION_ARG_PASS_BY_REFERENCE(CUM, MODE, TYPE, NAMED)          \
930   (TARGET_64BIT                                                         \
931    ? ((TYPE) && int_size_in_bytes (TYPE) <= 0)                          \
932    : (((TYPE) && (int_size_in_bytes (TYPE) > 8                          \
933                   || int_size_in_bytes (TYPE) <= 0))                    \
934       || ((MODE) && GET_MODE_SIZE (MODE) > 8)))
935  
936 #define FUNCTION_ARG_CALLEE_COPIES(CUM, MODE, TYPE, NAMED)              \
937   FUNCTION_ARG_PASS_BY_REFERENCE (CUM, MODE, TYPE, NAMED)
938
939 \f
940 extern GTY(()) rtx hppa_compare_op0;
941 extern GTY(()) rtx hppa_compare_op1;
942 extern enum cmp_type hppa_branch_type;
943
944 /* On HPPA, we emit profiling code as rtl via PROFILE_HOOK rather than
945    as assembly via FUNCTION_PROFILER.  Just output a local label.
946    We can't use the function label because the GAS SOM target can't
947    handle the difference of a global symbol and a local symbol.  */
948
949 #ifndef FUNC_BEGIN_PROLOG_LABEL
950 #define FUNC_BEGIN_PROLOG_LABEL        "LFBP"
951 #endif
952
953 #define FUNCTION_PROFILER(FILE, LABEL) \
954   (*targetm.asm_out.internal_label) (FILE, FUNC_BEGIN_PROLOG_LABEL, LABEL)
955
956 #define PROFILE_HOOK(label_no) hppa_profile_hook (label_no)
957 void hppa_profile_hook PARAMS ((int label_no));
958
959 /* The profile counter if emitted must come before the prologue.  */
960 #define PROFILE_BEFORE_PROLOGUE 1
961
962 /* EXIT_IGNORE_STACK should be nonzero if, when returning from a function,
963    the stack pointer does not matter.  The value is tested only in
964    functions that have frame pointers.
965    No definition is equivalent to always zero.  */
966
967 extern int may_call_alloca;
968
969 #define EXIT_IGNORE_STACK       \
970  (get_frame_size () != 0        \
971   || current_function_calls_alloca || current_function_outgoing_args_size)
972
973 /* Output assembler code for a block containing the constant parts
974    of a trampoline, leaving space for the variable parts.\
975
976    The trampoline sets the static chain pointer to STATIC_CHAIN_REGNUM
977    and then branches to the specified routine.
978
979    This code template is copied from text segment to stack location
980    and then patched with INITIALIZE_TRAMPOLINE to contain
981    valid values, and then entered as a subroutine.
982
983    It is best to keep this as small as possible to avoid having to
984    flush multiple lines in the cache.  */
985
986 #define TRAMPOLINE_TEMPLATE(FILE)                                       \
987   {                                                                     \
988     if (! TARGET_64BIT)                                                 \
989       {                                                                 \
990         fputs ("\tldw   36(%r22),%r21\n", FILE);                        \
991         fputs ("\tbb,>=,n       %r21,30,.+16\n", FILE);                 \
992         if (ASSEMBLER_DIALECT == 0)                                     \
993           fputs ("\tdepi        0,31,2,%r21\n", FILE);                  \
994         else                                                            \
995           fputs ("\tdepwi       0,31,2,%r21\n", FILE);                  \
996         fputs ("\tldw   4(%r21),%r19\n", FILE);                         \
997         fputs ("\tldw   0(%r21),%r21\n", FILE);                         \
998         fputs ("\tldsid (%r21),%r1\n", FILE);                           \
999         fputs ("\tmtsp  %r1,%sr0\n", FILE);                             \
1000         fputs ("\tbe    0(%sr0,%r21)\n", FILE);                         \
1001         fputs ("\tldw   40(%r22),%r29\n", FILE);                        \
1002         fputs ("\t.word 0\n", FILE);                                    \
1003         fputs ("\t.word 0\n", FILE);                                    \
1004         fputs ("\t.word 0\n", FILE);                                    \
1005         fputs ("\t.word 0\n", FILE);                                    \
1006       }                                                                 \
1007     else                                                                \
1008       {                                                                 \
1009         fputs ("\t.dword 0\n", FILE);                                   \
1010         fputs ("\t.dword 0\n", FILE);                                   \
1011         fputs ("\t.dword 0\n", FILE);                                   \
1012         fputs ("\t.dword 0\n", FILE);                                   \
1013         fputs ("\tmfia  %r31\n", FILE);                                 \
1014         fputs ("\tldd   24(%r31),%r1\n", FILE);                         \
1015         fputs ("\tldd   24(%r1),%r27\n", FILE);                         \
1016         fputs ("\tldd   16(%r1),%r1\n", FILE);                          \
1017         fputs ("\tbve   (%r1)\n", FILE);                                \
1018         fputs ("\tldd   32(%r31),%r31\n", FILE);                        \
1019         fputs ("\t.dword 0  ; fptr\n", FILE);                           \
1020         fputs ("\t.dword 0  ; static link\n", FILE);                    \
1021       }                                                                 \
1022   }
1023
1024 /* Length in units of the trampoline for entering a nested function.
1025
1026    Flush the cache entries corresponding to the first and last addresses
1027    of the trampoline.  This is necessary as the trampoline may cross two
1028    cache lines.
1029
1030    If the code part of the trampoline ever grows to > 32 bytes, then it
1031    will become necessary to hack on the cacheflush pattern in pa.md.  */
1032
1033 #define TRAMPOLINE_SIZE (TARGET_64BIT ? 72 : 52)
1034
1035 /* Emit RTL insns to initialize the variable parts of a trampoline.
1036    FNADDR is an RTX for the address of the function's pure code.
1037    CXT is an RTX for the static chain value for the function.
1038
1039    Move the function address to the trampoline template at offset 36.
1040    Move the static chain value to trampoline template at offset 40.
1041    Move the trampoline address to trampoline template at offset 44.
1042    Move r19 to trampoline template at offset 48.  The latter two
1043    words create a plabel for the indirect call to the trampoline.  */
1044
1045 #define INITIALIZE_TRAMPOLINE(TRAMP, FNADDR, CXT)                       \
1046 {                                                                       \
1047   if (! TARGET_64BIT)                                                   \
1048     {                                                                   \
1049       rtx start_addr, end_addr;                                         \
1050                                                                         \
1051       start_addr = memory_address (Pmode, plus_constant ((TRAMP), 36)); \
1052       emit_move_insn (gen_rtx_MEM (Pmode, start_addr), (FNADDR));       \
1053       start_addr = memory_address (Pmode, plus_constant ((TRAMP), 40)); \
1054       emit_move_insn (gen_rtx_MEM (Pmode, start_addr), (CXT));          \
1055       start_addr = memory_address (Pmode, plus_constant ((TRAMP), 44)); \
1056       emit_move_insn (gen_rtx_MEM (Pmode, start_addr), (TRAMP));        \
1057       start_addr = memory_address (Pmode, plus_constant ((TRAMP), 48)); \
1058       emit_move_insn (gen_rtx_MEM (Pmode, start_addr),                  \
1059                       gen_rtx_REG (Pmode, 19));                         \
1060       /* fdc and fic only use registers for the address to flush,       \
1061          they do not accept integer displacements.  */                  \
1062       start_addr = force_reg (Pmode, (TRAMP));                          \
1063       end_addr = force_reg (Pmode, plus_constant ((TRAMP), 32));        \
1064       emit_insn (gen_dcacheflush (start_addr, end_addr));               \
1065       end_addr = force_reg (Pmode, plus_constant (start_addr, 32));     \
1066       emit_insn (gen_icacheflush (start_addr, end_addr, start_addr,     \
1067                                   gen_reg_rtx (Pmode), gen_reg_rtx (Pmode)));\
1068     }                                                                   \
1069   else                                                                  \
1070     {                                                                   \
1071       rtx start_addr, end_addr;                                         \
1072                                                                         \
1073       start_addr = memory_address (Pmode, plus_constant ((TRAMP), 56)); \
1074       emit_move_insn (gen_rtx_MEM (Pmode, start_addr), (FNADDR));       \
1075       start_addr = memory_address (Pmode, plus_constant ((TRAMP), 64)); \
1076       emit_move_insn (gen_rtx_MEM (Pmode, start_addr), (CXT));          \
1077       /* Create a fat pointer for the trampoline.  */                   \
1078       end_addr = force_reg (Pmode, plus_constant ((TRAMP), 32));        \
1079       start_addr = memory_address (Pmode, plus_constant ((TRAMP), 16)); \
1080       emit_move_insn (gen_rtx_MEM (Pmode, start_addr), end_addr);       \
1081       end_addr = gen_rtx_REG (Pmode, 27);                               \
1082       start_addr = memory_address (Pmode, plus_constant ((TRAMP), 24)); \
1083       emit_move_insn (gen_rtx_MEM (Pmode, start_addr), end_addr);       \
1084       /* fdc and fic only use registers for the address to flush,       \
1085          they do not accept integer displacements.  */                  \
1086       start_addr = force_reg (Pmode, (TRAMP));                          \
1087       end_addr = force_reg (Pmode, plus_constant ((TRAMP), 32));        \
1088       emit_insn (gen_dcacheflush (start_addr, end_addr));               \
1089       end_addr = force_reg (Pmode, plus_constant (start_addr, 32));     \
1090       emit_insn (gen_icacheflush (start_addr, end_addr, start_addr,     \
1091                                   gen_reg_rtx (Pmode), gen_reg_rtx (Pmode)));\
1092     }                                                                   \
1093 }
1094
1095 /* Perform any machine-specific adjustment in the address of the trampoline.
1096    ADDR contains the address that was passed to INITIALIZE_TRAMPOLINE.
1097    Adjust the trampoline address to point to the plabel at offset 44.  */
1098    
1099 #define TRAMPOLINE_ADJUST_ADDRESS(ADDR) \
1100   if (!TARGET_64BIT) (ADDR) = memory_address (Pmode, plus_constant ((ADDR), 46))
1101
1102 /* Emit code for a call to builtin_saveregs.  We must emit USE insns which
1103    reference the 4 integer arg registers and 4 fp arg registers.
1104    Ordinarily they are not call used registers, but they are for
1105    _builtin_saveregs, so we must make this explicit.  */
1106
1107 #define EXPAND_BUILTIN_SAVEREGS() hppa_builtin_saveregs ()
1108
1109 /* Implement `va_start' for varargs and stdarg.  */
1110
1111 #define EXPAND_BUILTIN_VA_START(valist, nextarg) \
1112   hppa_va_start (valist, nextarg)
1113
1114 /* Implement `va_arg'.  */
1115
1116 #define EXPAND_BUILTIN_VA_ARG(valist, type) \
1117   hppa_va_arg (valist, type)
1118 \f
1119 /* Addressing modes, and classification of registers for them. 
1120
1121    Using autoincrement addressing modes on PA8000 class machines is
1122    not profitable.  */
1123
1124 #define HAVE_POST_INCREMENT (pa_cpu < PROCESSOR_8000)
1125 #define HAVE_POST_DECREMENT (pa_cpu < PROCESSOR_8000)
1126
1127 #define HAVE_PRE_DECREMENT (pa_cpu < PROCESSOR_8000)
1128 #define HAVE_PRE_INCREMENT (pa_cpu < PROCESSOR_8000)
1129
1130 /* Macros to check register numbers against specific register classes.  */
1131
1132 /* These assume that REGNO is a hard or pseudo reg number.
1133    They give nonzero only if REGNO is a hard reg of the suitable class
1134    or a pseudo reg currently allocated to a suitable hard reg.
1135    Since they use reg_renumber, they are safe only once reg_renumber
1136    has been allocated, which happens in local-alloc.c.  */
1137
1138 #define REGNO_OK_FOR_INDEX_P(REGNO) \
1139   ((REGNO) && ((REGNO) < 32 || (unsigned) reg_renumber[REGNO] < 32))
1140 #define REGNO_OK_FOR_BASE_P(REGNO)  \
1141   ((REGNO) && ((REGNO) < 32 || (unsigned) reg_renumber[REGNO] < 32))
1142 #define REGNO_OK_FOR_FP_P(REGNO) \
1143   (FP_REGNO_P (REGNO) || FP_REGNO_P (reg_renumber[REGNO]))
1144
1145 /* Now macros that check whether X is a register and also,
1146    strictly, whether it is in a specified class.
1147
1148    These macros are specific to the HP-PA, and may be used only
1149    in code for printing assembler insns and in conditions for
1150    define_optimization.  */
1151
1152 /* 1 if X is an fp register.  */
1153
1154 #define FP_REG_P(X) (REG_P (X) && REGNO_OK_FOR_FP_P (REGNO (X)))
1155 \f
1156 /* Maximum number of registers that can appear in a valid memory address.  */
1157
1158 #define MAX_REGS_PER_ADDRESS 2
1159
1160 /* Recognize any constant value that is a valid address except
1161    for symbolic addresses.  We get better CSE by rejecting them
1162    here and allowing hppa_legitimize_address to break them up.  We
1163    use most of the constants accepted by CONSTANT_P, except CONST_DOUBLE.  */
1164
1165 #define CONSTANT_ADDRESS_P(X) \
1166   ((GET_CODE (X) == LABEL_REF || GET_CODE (X) == SYMBOL_REF             \
1167    || GET_CODE (X) == CONST_INT || GET_CODE (X) == CONST                \
1168    || GET_CODE (X) == HIGH)                                             \
1169    && (reload_in_progress || reload_completed || ! symbolic_expression_p (X)))
1170
1171 /* Include all constant integers and constant doubles, but not
1172    floating-point, except for floating-point zero.
1173
1174    Reject LABEL_REFs if we're not using gas or the new HP assembler. 
1175
1176    ?!? For now also reject CONST_DOUBLES in 64bit mode.  This will need
1177    further work.  */
1178 #ifndef NEW_HP_ASSEMBLER
1179 #define NEW_HP_ASSEMBLER 0
1180 #endif
1181 #define LEGITIMATE_CONSTANT_P(X)                                \
1182   ((GET_MODE_CLASS (GET_MODE (X)) != MODE_FLOAT                 \
1183     || (X) == CONST0_RTX (GET_MODE (X)))                        \
1184    && (NEW_HP_ASSEMBLER || TARGET_GAS || GET_CODE (X) != LABEL_REF)     \
1185    && !(TARGET_64BIT && GET_CODE (X) == CONST_DOUBLE)           \
1186    && !(TARGET_64BIT && GET_CODE (X) == CONST_INT               \
1187         && !(HOST_BITS_PER_WIDE_INT <= 32                       \
1188              || (INTVAL (X) >= (HOST_WIDE_INT) -32 << 31        \
1189                  && INTVAL (X) < (HOST_WIDE_INT) 32 << 31)      \
1190              || cint_ok_for_move (INTVAL (X))))                 \
1191    && !function_label_operand (X, VOIDmode))
1192
1193 /* Subroutine for EXTRA_CONSTRAINT.
1194
1195    Return 1 iff OP is a pseudo which did not get a hard register and
1196    we are running the reload pass.  */
1197
1198 #define IS_RELOADING_PSEUDO_P(OP) \
1199   ((reload_in_progress                                  \
1200     && GET_CODE (OP) == REG                             \
1201     && REGNO (OP) >= FIRST_PSEUDO_REGISTER              \
1202     && reg_renumber [REGNO (OP)] < 0))
1203
1204 /* Optional extra constraints for this machine. Borrowed from sparc.h.
1205
1206    For the HPPA, `Q' means that this is a memory operand but not a
1207    symbolic memory operand.  Note that an unassigned pseudo register
1208    is such a memory operand.  Needed because reload will generate
1209    these things in insns and then not re-recognize the insns, causing
1210    constrain_operands to fail.
1211
1212    `R' is used for scaled indexed addresses.
1213
1214    `S' is the constant 31.
1215
1216    `T' is for fp loads and stores.  */
1217 #define EXTRA_CONSTRAINT(OP, C)                         \
1218   ((C) == 'Q' ?                                         \
1219    (IS_RELOADING_PSEUDO_P (OP)                          \
1220     || (GET_CODE (OP) == MEM                            \
1221         && (memory_address_p (GET_MODE (OP), XEXP (OP, 0))\
1222             || reload_in_progress)                      \
1223         && ! symbolic_memory_operand (OP, VOIDmode)     \
1224         && !(GET_CODE (XEXP (OP, 0)) == PLUS            \
1225              && (GET_CODE (XEXP (XEXP (OP, 0), 0)) == MULT\
1226                  || GET_CODE (XEXP (XEXP (OP, 0), 1)) == MULT))))\
1227    : ((C) == 'R' ?                                      \
1228      (GET_CODE (OP) == MEM                              \
1229       && GET_CODE (XEXP (OP, 0)) == PLUS                \
1230       && (GET_CODE (XEXP (XEXP (OP, 0), 0)) == MULT     \
1231           || GET_CODE (XEXP (XEXP (OP, 0), 1)) == MULT) \
1232       && (move_operand (OP, GET_MODE (OP))              \
1233           || memory_address_p (GET_MODE (OP), XEXP (OP, 0))\
1234           || reload_in_progress))                       \
1235    : ((C) == 'T' ?                                      \
1236       (GET_CODE (OP) == MEM                             \
1237        /* Using DFmode forces only short displacements  \
1238           to be recognized as valid in reg+d addresses. \
1239           However, this is not necessary for PA2.0 since\
1240           it has long FP loads/stores.                  \
1241                                                         \
1242           FIXME: the ELF32 linker clobbers the LSB of   \
1243           the FP register number in {fldw,fstw} insns.  \
1244           Thus, we only allow long FP loads/stores on   \
1245           TARGET_64BIT.  */                             \
1246        && memory_address_p ((TARGET_PA_20               \
1247                              && !TARGET_ELF32           \
1248                              ? GET_MODE (OP)            \
1249                              : DFmode),                 \
1250                             XEXP (OP, 0))               \
1251        && !(GET_CODE (XEXP (OP, 0)) == LO_SUM           \
1252             && GET_CODE (XEXP (XEXP (OP, 0), 0)) == REG \
1253             && REG_OK_FOR_BASE_P (XEXP (XEXP (OP, 0), 0))\
1254             && GET_CODE (XEXP (XEXP (OP, 0), 1)) == UNSPEC\
1255             && GET_MODE (XEXP (OP, 0)) == Pmode)        \
1256        && !(GET_CODE (XEXP (OP, 0)) == PLUS             \
1257             && (GET_CODE (XEXP (XEXP (OP, 0), 0)) == MULT\
1258                 || GET_CODE (XEXP (XEXP (OP, 0), 1)) == MULT)))\
1259    : ((C) == 'U' ?                                      \
1260       (GET_CODE (OP) == CONST_INT && INTVAL (OP) == 63) \
1261    : ((C) == 'A' ?                                      \
1262       (GET_CODE (OP) == MEM                             \
1263        && GET_CODE (XEXP (OP, 0)) == LO_SUM             \
1264        && GET_CODE (XEXP (XEXP (OP, 0), 0)) == REG      \
1265        && REG_OK_FOR_BASE_P (XEXP (XEXP (OP, 0), 0))    \
1266        && GET_CODE (XEXP (XEXP (OP, 0), 1)) == UNSPEC           \
1267        && GET_MODE (XEXP (OP, 0)) == Pmode)                     \
1268    : ((C) == 'S' ?                                      \
1269       (GET_CODE (OP) == CONST_INT && INTVAL (OP) == 31) : 0))))))
1270         
1271
1272 /* The macros REG_OK_FOR..._P assume that the arg is a REG rtx
1273    and check its validity for a certain class.
1274    We have two alternate definitions for each of them.
1275    The usual definition accepts all pseudo regs; the other rejects
1276    them unless they have been allocated suitable hard regs.
1277    The symbol REG_OK_STRICT causes the latter definition to be used.
1278
1279    Most source files want to accept pseudo regs in the hope that
1280    they will get allocated to the class that the insn wants them to be in.
1281    Source files for reload pass need to be strict.
1282    After reload, it makes no difference, since pseudo regs have
1283    been eliminated by then.  */
1284
1285 #ifndef REG_OK_STRICT
1286
1287 /* Nonzero if X is a hard reg that can be used as an index
1288    or if it is a pseudo reg.  */
1289 #define REG_OK_FOR_INDEX_P(X) \
1290 (REGNO (X) && (REGNO (X) < 32 || REGNO (X) >= FIRST_PSEUDO_REGISTER))
1291 /* Nonzero if X is a hard reg that can be used as a base reg
1292    or if it is a pseudo reg.  */
1293 #define REG_OK_FOR_BASE_P(X) \
1294 (REGNO (X) && (REGNO (X) < 32 || REGNO (X) >= FIRST_PSEUDO_REGISTER))
1295
1296 #else
1297
1298 /* Nonzero if X is a hard reg that can be used as an index.  */
1299 #define REG_OK_FOR_INDEX_P(X) REGNO_OK_FOR_INDEX_P (REGNO (X))
1300 /* Nonzero if X is a hard reg that can be used as a base reg.  */
1301 #define REG_OK_FOR_BASE_P(X) REGNO_OK_FOR_BASE_P (REGNO (X))
1302
1303 #endif
1304 \f
1305 /* GO_IF_LEGITIMATE_ADDRESS recognizes an RTL expression
1306    that is a valid memory address for an instruction.
1307    The MODE argument is the machine mode for the MEM expression
1308    that wants to use this address.
1309
1310    On the HP-PA, the actual legitimate addresses must be
1311    REG+REG, REG+(REG*SCALE) or REG+SMALLINT.
1312    But we can treat a SYMBOL_REF as legitimate if it is part of this
1313    function's constant-pool, because such addresses can actually
1314    be output as REG+SMALLINT. 
1315
1316    Note we only allow 5 bit immediates for access to a constant address;
1317    doing so avoids losing for loading/storing a FP register at an address
1318    which will not fit in 5 bits.  */
1319
1320 #define VAL_5_BITS_P(X) ((unsigned HOST_WIDE_INT)(X) + 0x10 < 0x20)
1321 #define INT_5_BITS(X) VAL_5_BITS_P (INTVAL (X))
1322
1323 #define VAL_U5_BITS_P(X) ((unsigned HOST_WIDE_INT)(X) < 0x20)
1324 #define INT_U5_BITS(X) VAL_U5_BITS_P (INTVAL (X))
1325
1326 #define VAL_11_BITS_P(X) ((unsigned HOST_WIDE_INT)(X) + 0x400 < 0x800)
1327 #define INT_11_BITS(X) VAL_11_BITS_P (INTVAL (X))
1328
1329 #define VAL_14_BITS_P(X) ((unsigned HOST_WIDE_INT)(X) + 0x2000 < 0x4000)
1330 #define INT_14_BITS(X) VAL_14_BITS_P (INTVAL (X))
1331
1332 #define GO_IF_LEGITIMATE_ADDRESS(MODE, X, ADDR)  \
1333 {                                                       \
1334   if ((REG_P (X) && REG_OK_FOR_BASE_P (X))              \
1335       || ((GET_CODE (X) == PRE_DEC || GET_CODE (X) == POST_DEC          \
1336            || GET_CODE (X) == PRE_INC || GET_CODE (X) == POST_INC)      \
1337           && REG_P (XEXP (X, 0))                        \
1338           && REG_OK_FOR_BASE_P (XEXP (X, 0))))          \
1339     goto ADDR;                                          \
1340   else if (GET_CODE (X) == PLUS)                        \
1341     {                                                   \
1342       rtx base = 0, index = 0;                          \
1343       if (REG_P (XEXP (X, 0))                           \
1344           && REG_OK_FOR_BASE_P (XEXP (X, 0)))           \
1345         base = XEXP (X, 0), index = XEXP (X, 1);        \
1346       else if (REG_P (XEXP (X, 1))                      \
1347                && REG_OK_FOR_BASE_P (XEXP (X, 1)))      \
1348         base = XEXP (X, 1), index = XEXP (X, 0);        \
1349       if (base != 0)                                    \
1350         if (GET_CODE (index) == CONST_INT               \
1351             && ((INT_14_BITS (index)                    \
1352                  && (TARGET_SOFT_FLOAT                  \
1353                      || (TARGET_PA_20                   \
1354                          && ((MODE == SFmode            \
1355                               && (INTVAL (index) % 4) == 0)\
1356                              || (MODE == DFmode         \
1357                                  && (INTVAL (index) % 8) == 0)))\
1358                      || ((MODE) != SFmode && (MODE) != DFmode))) \
1359                 || INT_5_BITS (index)))                 \
1360           goto ADDR;                                    \
1361       if (! TARGET_SOFT_FLOAT                           \
1362           && ! TARGET_DISABLE_INDEXING                  \
1363           && base                                       \
1364           && ((MODE) == SFmode || (MODE) == DFmode)     \
1365           && GET_CODE (index) == MULT                   \
1366           && GET_CODE (XEXP (index, 0)) == REG          \
1367           && REG_OK_FOR_BASE_P (XEXP (index, 0))        \
1368           && GET_CODE (XEXP (index, 1)) == CONST_INT    \
1369           && INTVAL (XEXP (index, 1)) == ((MODE) == SFmode ? 4 : 8))\
1370         goto ADDR;                                      \
1371     }                                                   \
1372   else if (GET_CODE (X) == LO_SUM                       \
1373            && GET_CODE (XEXP (X, 0)) == REG             \
1374            && REG_OK_FOR_BASE_P (XEXP (X, 0))           \
1375            && CONSTANT_P (XEXP (X, 1))                  \
1376            && (TARGET_SOFT_FLOAT                        \
1377                /* We can allow symbolic LO_SUM addresses\
1378                   for PA2.0.  */                        \
1379                || (TARGET_PA_20                         \
1380                    && !TARGET_ELF32                     \
1381                    && GET_CODE (XEXP (X, 1)) != CONST_INT)\
1382                || ((MODE) != SFmode                     \
1383                    && (MODE) != DFmode)))               \
1384     goto ADDR;                                          \
1385   else if (GET_CODE (X) == LO_SUM                       \
1386            && GET_CODE (XEXP (X, 0)) == SUBREG          \
1387            && GET_CODE (SUBREG_REG (XEXP (X, 0))) == REG\
1388            && REG_OK_FOR_BASE_P (SUBREG_REG (XEXP (X, 0)))\
1389            && CONSTANT_P (XEXP (X, 1))                  \
1390            && (TARGET_SOFT_FLOAT                        \
1391                /* We can allow symbolic LO_SUM addresses\
1392                   for PA2.0.  */                        \
1393                || (TARGET_PA_20                         \
1394                    && !TARGET_ELF32                     \
1395                    && GET_CODE (XEXP (X, 1)) != CONST_INT)\
1396                || ((MODE) != SFmode                     \
1397                    && (MODE) != DFmode)))               \
1398     goto ADDR;                                          \
1399   else if (GET_CODE (X) == LABEL_REF                    \
1400            || (GET_CODE (X) == CONST_INT                \
1401                && INT_5_BITS (X)))                      \
1402     goto ADDR;                                          \
1403   /* Needed for -fPIC */                                \
1404   else if (GET_CODE (X) == LO_SUM                       \
1405            && GET_CODE (XEXP (X, 0)) == REG             \
1406            && REG_OK_FOR_BASE_P (XEXP (X, 0))           \
1407            && GET_CODE (XEXP (X, 1)) == UNSPEC          \
1408            && (TARGET_SOFT_FLOAT                        \
1409                || (TARGET_PA_20 && !TARGET_ELF32)       \
1410                || ((MODE) != SFmode                     \
1411                    && (MODE) != DFmode)))               \
1412     goto ADDR;                                          \
1413 }
1414
1415 /* Look for machine dependent ways to make the invalid address AD a
1416    valid address.
1417
1418    For the PA, transform:
1419
1420         memory(X + <large int>)
1421
1422    into:
1423
1424         if (<large int> & mask) >= 16
1425           Y = (<large int> & ~mask) + mask + 1  Round up.
1426         else
1427           Y = (<large int> & ~mask)             Round down.
1428         Z = X + Y
1429         memory (Z + (<large int> - Y));
1430
1431    This makes reload inheritance and reload_cse work better since Z
1432    can be reused.
1433
1434    There may be more opportunities to improve code with this hook.  */
1435 #define LEGITIMIZE_RELOAD_ADDRESS(AD, MODE, OPNUM, TYPE, IND, WIN)      \
1436 do {                                                                    \
1437   int offset, newoffset, mask;                                          \
1438   rtx new, temp = NULL_RTX;                                             \
1439                                                                         \
1440   mask = (GET_MODE_CLASS (MODE) == MODE_FLOAT                           \
1441           ? (TARGET_PA_20 && !TARGET_ELF32 ? 0x3fff : 0x1f) : 0x3fff);  \
1442                                                                         \
1443   if (optimize                                                          \
1444       && GET_CODE (AD) == PLUS)                                         \
1445     temp = simplify_binary_operation (PLUS, Pmode,                      \
1446                                       XEXP (AD, 0), XEXP (AD, 1));      \
1447                                                                         \
1448   new = temp ? temp : AD;                                               \
1449                                                                         \
1450   if (optimize                                                          \
1451       && GET_CODE (new) == PLUS                                         \
1452       && GET_CODE (XEXP (new, 0)) == REG                                \
1453       && GET_CODE (XEXP (new, 1)) == CONST_INT)                         \
1454     {                                                                   \
1455       offset = INTVAL (XEXP ((new), 1));                                \
1456                                                                         \
1457       /* Choose rounding direction.  Round up if we are >= halfway.  */ \
1458       if ((offset & mask) >= ((mask + 1) / 2))                          \
1459         newoffset = (offset & ~mask) + mask + 1;                        \
1460       else                                                              \
1461         newoffset = offset & ~mask;                                     \
1462                                                                         \
1463       if (newoffset != 0                                                \
1464           && VAL_14_BITS_P (newoffset))                                 \
1465         {                                                               \
1466                                                                         \
1467           temp = gen_rtx_PLUS (Pmode, XEXP (new, 0),                    \
1468                                GEN_INT (newoffset));                    \
1469           AD = gen_rtx_PLUS (Pmode, temp, GEN_INT (offset - newoffset));\
1470           push_reload (XEXP (AD, 0), 0, &XEXP (AD, 0), 0,               \
1471                              BASE_REG_CLASS, Pmode, VOIDmode, 0, 0,     \
1472                              (OPNUM), (TYPE));                          \
1473           goto WIN;                                                     \
1474         }                                                               \
1475     }                                                                   \
1476 } while (0)
1477
1478
1479
1480 \f
1481 /* Try machine-dependent ways of modifying an illegitimate address
1482    to be legitimate.  If we find one, return the new, valid address.
1483    This macro is used in only one place: `memory_address' in explow.c.
1484
1485    OLDX is the address as it was before break_out_memory_refs was called.
1486    In some cases it is useful to look at this to decide what needs to be done.
1487
1488    MODE and WIN are passed so that this macro can use
1489    GO_IF_LEGITIMATE_ADDRESS.
1490
1491    It is always safe for this macro to do nothing.  It exists to recognize
1492    opportunities to optimize the output.  */
1493
1494 #define LEGITIMIZE_ADDRESS(X, OLDX, MODE, WIN)  \
1495 { rtx orig_x = (X);                             \
1496   (X) = hppa_legitimize_address (X, OLDX, MODE);        \
1497   if ((X) != orig_x && memory_address_p (MODE, X)) \
1498     goto WIN; }
1499
1500 /* Go to LABEL if ADDR (a legitimate address expression)
1501    has an effect that depends on the machine mode it is used for.  */
1502
1503 #define GO_IF_MODE_DEPENDENT_ADDRESS(ADDR,LABEL)        \
1504   if (GET_CODE (ADDR) == PRE_DEC        \
1505       || GET_CODE (ADDR) == POST_DEC    \
1506       || GET_CODE (ADDR) == PRE_INC     \
1507       || GET_CODE (ADDR) == POST_INC)   \
1508     goto LABEL
1509 \f
1510 #define TARGET_ASM_SELECT_SECTION  pa_select_section
1511    
1512 /* Define this macro if references to a symbol must be treated
1513    differently depending on something about the variable or
1514    function named by the symbol (such as what section it is in).
1515
1516    The macro definition, if any, is executed immediately after the
1517    rtl for DECL or other node is created.
1518    The value of the rtl will be a `mem' whose address is a
1519    `symbol_ref'.
1520
1521    The usual thing for this macro to do is to a flag in the
1522    `symbol_ref' (such as `SYMBOL_REF_FLAG') or to store a modified
1523    name string in the `symbol_ref' (if one bit is not enough
1524    information).
1525
1526    On the HP-PA we use this to indicate if a symbol is in text or
1527    data space.  Also, function labels need special treatment.  */
1528
1529 #define TEXT_SPACE_P(DECL)\
1530   (TREE_CODE (DECL) == FUNCTION_DECL                                    \
1531    || (TREE_CODE (DECL) == VAR_DECL                                     \
1532        && TREE_READONLY (DECL) && ! TREE_SIDE_EFFECTS (DECL)            \
1533        && (! DECL_INITIAL (DECL) || ! reloc_needed (DECL_INITIAL (DECL))) \
1534        && !flag_pic)                                                    \
1535    || (TREE_CODE_CLASS (TREE_CODE (DECL)) == 'c'                        \
1536        && !(TREE_CODE (DECL) == STRING_CST && flag_writable_strings)))
1537
1538 #define FUNCTION_NAME_P(NAME)  (*(NAME) == '@')
1539
1540 /* Specify the machine mode that this machine uses
1541    for the index in the tablejump instruction.  */
1542 #define CASE_VECTOR_MODE (TARGET_BIG_SWITCH ? TImode : DImode)
1543
1544 /* Jump tables must be 32 bit aligned, no matter the size of the element.  */
1545 #define ADDR_VEC_ALIGN(ADDR_VEC) 2
1546
1547 /* Define this as 1 if `char' should by default be signed; else as 0.  */
1548 #define DEFAULT_SIGNED_CHAR 1
1549
1550 /* Max number of bytes we can move from memory to memory
1551    in one reasonably fast instruction.  */
1552 #define MOVE_MAX 8
1553
1554 /* Higher than the default as we prefer to use simple move insns
1555    (better scheduling and delay slot filling) and because our
1556    built-in block move is really a 2X unrolled loop. 
1557
1558    Believe it or not, this has to be big enough to allow for copying all
1559    arguments passed in registers to avoid infinite recursion during argument
1560    setup for a function call.  Why?  Consider how we copy the stack slots
1561    reserved for parameters when they may be trashed by a call.  */
1562 #define MOVE_RATIO (TARGET_64BIT ? 8 : 4)
1563
1564 /* Define if operations between registers always perform the operation
1565    on the full register even if a narrower mode is specified.  */
1566 #define WORD_REGISTER_OPERATIONS
1567
1568 /* Define if loading in MODE, an integral mode narrower than BITS_PER_WORD
1569    will either zero-extend or sign-extend.  The value of this macro should
1570    be the code that says which one of the two operations is implicitly
1571    done, NIL if none.  */
1572 #define LOAD_EXTEND_OP(MODE) ZERO_EXTEND
1573
1574 /* Nonzero if access to memory by bytes is slow and undesirable.  */
1575 #define SLOW_BYTE_ACCESS 1
1576
1577 /* Value is 1 if truncating an integer of INPREC bits to OUTPREC bits
1578    is done just by pretending it is already truncated.  */
1579 #define TRULY_NOOP_TRUNCATION(OUTPREC, INPREC) 1
1580
1581 /* We assume that the store-condition-codes instructions store 0 for false
1582    and some other value for true.  This is the value stored for true.  */
1583
1584 #define STORE_FLAG_VALUE 1
1585
1586 /* When a prototype says `char' or `short', really pass an `int'.  */
1587 #define PROMOTE_PROTOTYPES 1
1588 #define PROMOTE_FUNCTION_RETURN 1
1589
1590 /* Specify the machine mode that pointers have.
1591    After generation of rtl, the compiler makes no further distinction
1592    between pointers and any other objects of this machine mode.  */
1593 #define Pmode word_mode
1594
1595 /* Given a comparison code (EQ, NE, etc.) and the first operand of a COMPARE,
1596    return the mode to be used for the comparison.  For floating-point, CCFPmode
1597    should be used.  CC_NOOVmode should be used when the first operand is a
1598    PLUS, MINUS, or NEG.  CCmode should be used when no special processing is
1599    needed.  */
1600 #define SELECT_CC_MODE(OP,X,Y) \
1601   (GET_MODE_CLASS (GET_MODE (X)) == MODE_FLOAT ? CCFPmode : CCmode)    \
1602
1603 /* A function address in a call instruction
1604    is a byte address (for indexing purposes)
1605    so give the MEM rtx a byte's mode.  */
1606 #define FUNCTION_MODE SImode
1607
1608 /* Define this if addresses of constant functions
1609    shouldn't be put through pseudo regs where they can be cse'd.
1610    Desirable on machines where ordinary constants are expensive
1611    but a CALL with constant address is cheap.  */
1612 #define NO_FUNCTION_CSE
1613
1614 /* Define this to be nonzero if shift instructions ignore all but the low-order
1615    few bits.  */
1616 #define SHIFT_COUNT_TRUNCATED 1
1617
1618 /* Compute extra cost of moving data between one register class
1619    and another.
1620
1621    Make moves from SAR so expensive they should never happen.  We used to
1622    have 0xffff here, but that generates overflow in rare cases.
1623
1624    Copies involving a FP register and a non-FP register are relatively
1625    expensive because they must go through memory.
1626
1627    Other copies are reasonably cheap.  */
1628 #define REGISTER_MOVE_COST(MODE, CLASS1, CLASS2) \
1629  (CLASS1 == SHIFT_REGS ? 0x100                                  \
1630   : FP_REG_CLASS_P (CLASS1) && ! FP_REG_CLASS_P (CLASS2) ? 16   \
1631   : FP_REG_CLASS_P (CLASS2) && ! FP_REG_CLASS_P (CLASS1) ? 16   \
1632   : 2)
1633
1634 /* Adjust the cost of branches.  */
1635 #define BRANCH_COST (pa_cpu == PROCESSOR_8000 ? 2 : 1)
1636
1637 /* Handling the special cases is going to get too complicated for a macro,
1638    just call `pa_adjust_insn_length' to do the real work.  */
1639 #define ADJUST_INSN_LENGTH(INSN, LENGTH)        \
1640   LENGTH += pa_adjust_insn_length (INSN, LENGTH);
1641
1642 /* Millicode insns are actually function calls with some special
1643    constraints on arguments and register usage.
1644
1645    Millicode calls always expect their arguments in the integer argument
1646    registers, and always return their result in %r29 (ret1).  They
1647    are expected to clobber their arguments, %r1, %r29, and the return
1648    pointer which is %r31 on 32-bit and %r2 on 64-bit, and nothing else.
1649
1650    This macro tells reorg that the references to arguments and
1651    millicode calls do not appear to happen until after the millicode call.
1652    This allows reorg to put insns which set the argument registers into the
1653    delay slot of the millicode call -- thus they act more like traditional
1654    CALL_INSNs.
1655
1656    Note we can not consider side effects of the insn to be delayed because
1657    the branch and link insn will clobber the return pointer.  If we happened
1658    to use the return pointer in the delay slot of the call, then we lose.
1659
1660    get_attr_type will try to recognize the given insn, so make sure to
1661    filter out things it will not accept -- SEQUENCE, USE and CLOBBER insns
1662    in particular.  */
1663 #define INSN_REFERENCES_ARE_DELAYED(X) (insn_refs_are_delayed (X))
1664
1665 \f
1666 /* Control the assembler format that we output.  */
1667
1668 /* Output to assembler file text saying following lines
1669    may contain character constants, extra white space, comments, etc.  */
1670
1671 #define ASM_APP_ON ""
1672
1673 /* Output to assembler file text saying following lines
1674    no longer contain unusual constructs.  */
1675
1676 #define ASM_APP_OFF ""
1677
1678 /* Output deferred plabels at the end of the file.  */
1679
1680 #define ASM_FILE_END(FILE) output_deferred_plabels (FILE)
1681
1682 /* This is how to output the definition of a user-level label named NAME,
1683    such as the label on a static function or variable NAME.  */
1684
1685 #define ASM_OUTPUT_LABEL(FILE, NAME)    \
1686   do { assemble_name (FILE, NAME);      \
1687        fputc ('\n', FILE); } while (0)
1688
1689 /* This is how to output a reference to a user-level label named NAME.
1690    `assemble_name' uses this.  */
1691
1692 #define ASM_OUTPUT_LABELREF(FILE,NAME)  \
1693   do {                                  \
1694     const char *xname = (NAME);         \
1695     if (FUNCTION_NAME_P (NAME))         \
1696       xname += 1;                       \
1697     if (xname[0] == '*')                \
1698       xname += 1;                       \
1699     else                                \
1700       fputs (user_label_prefix, FILE);  \
1701     fputs (xname, FILE);                \
1702   } while (0)
1703
1704 /* This is how to store into the string LABEL
1705    the symbol_ref name of an internal numbered label where
1706    PREFIX is the class of label and NUM is the number within the class.
1707    This is suitable for output with `assemble_name'.  */
1708
1709 #define ASM_GENERATE_INTERNAL_LABEL(LABEL,PREFIX,NUM)   \
1710   sprintf (LABEL, "*%c$%s%04ld", (PREFIX)[0], (PREFIX) + 1, (long)(NUM))
1711
1712 #define TARGET_ASM_GLOBALIZE_LABEL pa_globalize_label
1713
1714 #define ASM_OUTPUT_ASCII(FILE, P, SIZE)  \
1715   output_ascii ((FILE), (P), (SIZE))
1716
1717 /* This is how to output an element of a case-vector that is absolute.
1718    Note that this method makes filling these branch delay slots
1719    impossible.  */
1720
1721 #define ASM_OUTPUT_ADDR_VEC_ELT(FILE, VALUE)  \
1722   if (TARGET_BIG_SWITCH)                                        \
1723     fprintf (FILE, "\tstw %%r1,-16(%%r30)\n\tldil LR'L$%04d,%%r1\n\tbe RR'L$%04d(%%sr4,%%r1)\n\tldw -16(%%r30),%%r1\n", VALUE, VALUE);          \
1724   else                                                          \
1725     fprintf (FILE, "\tb L$%04d\n\tnop\n", VALUE)
1726
1727 /* Jump tables are executable code and live in the TEXT section on the PA.  */
1728 #define JUMP_TABLES_IN_TEXT_SECTION 1
1729
1730 /* This is how to output an element of a case-vector that is relative.
1731    This must be defined correctly as it is used when generating PIC code.
1732
1733    I believe it safe to use the same definition as ASM_OUTPUT_ADDR_VEC_ELT
1734    on the PA since ASM_OUTPUT_ADDR_VEC_ELT uses pc-relative jump instructions
1735    rather than a table of absolute addresses.  */
1736
1737 #define ASM_OUTPUT_ADDR_DIFF_ELT(FILE, BODY, VALUE, REL)  \
1738   if (TARGET_BIG_SWITCH)                                        \
1739     fprintf (FILE, "\tstw %%r1,-16(%%r30)\n\tldw T'L$%04d(%%r19),%%r1\n\tbv %%r0(%%r1)\n\tldw -16(%%r30),%%r1\n", VALUE);                               \
1740   else                                                          \
1741     fprintf (FILE, "\tb L$%04d\n\tnop\n", VALUE)
1742
1743 /* This is how to output an assembler line
1744    that says to advance the location counter
1745    to a multiple of 2**LOG bytes.  */
1746
1747 #define ASM_OUTPUT_ALIGN(FILE,LOG)      \
1748     fprintf (FILE, "\t.align %d\n", (1<<(LOG)))
1749
1750 #define ASM_OUTPUT_SKIP(FILE,SIZE)  \
1751   fprintf (FILE, "\t.blockz "HOST_WIDE_INT_PRINT_UNSIGNED"\n", (SIZE))
1752
1753 /* This says how to output an assembler line to define a global common symbol
1754    with size SIZE (in bytes) and alignment ALIGN (in bits).  */
1755
1756 #define ASM_OUTPUT_ALIGNED_COMMON(FILE, NAME, SIZE, ALIGNED)            \
1757 { bss_section ();                                                       \
1758   assemble_name ((FILE), (NAME));                                       \
1759   fprintf ((FILE), "\t.comm "HOST_WIDE_INT_PRINT_UNSIGNED"\n",          \
1760            MAX ((SIZE), ((ALIGNED) / BITS_PER_UNIT)));}
1761
1762 /* This says how to output an assembler line to define a local common symbol
1763    with size SIZE (in bytes) and alignment ALIGN (in bits).  */
1764
1765 #define ASM_OUTPUT_ALIGNED_LOCAL(FILE, NAME, SIZE, ALIGNED)             \
1766 { bss_section ();                                                       \
1767   fprintf ((FILE), "\t.align %d\n", ((ALIGNED) / BITS_PER_UNIT));       \
1768   assemble_name ((FILE), (NAME));                                       \
1769   fprintf ((FILE), "\n\t.block "HOST_WIDE_INT_PRINT_UNSIGNED"\n",       \
1770            (SIZE));}
1771   
1772 #define ASM_PN_FORMAT "%s___%lu"
1773
1774 /* All HP assemblers use "!" to separate logical lines.  */
1775 #define IS_ASM_LOGICAL_LINE_SEPARATOR(C) ((C) == '!')
1776
1777 #define PRINT_OPERAND_PUNCT_VALID_P(CHAR) \
1778   ((CHAR) == '@' || (CHAR) == '#' || (CHAR) == '*' || (CHAR) == '^')
1779
1780 /* Print operand X (an rtx) in assembler syntax to file FILE.
1781    CODE is a letter or dot (`z' in `%z0') or 0 if no letter was specified.
1782    For `%' followed by punctuation, CODE is the punctuation and X is null.
1783
1784    On the HP-PA, the CODE can be `r', meaning this is a register-only operand
1785    and an immediate zero should be represented as `r0'.
1786
1787    Several % codes are defined:
1788    O an operation
1789    C compare conditions
1790    N extract conditions
1791    M modifier to handle preincrement addressing for memory refs.
1792    F modifier to handle preincrement addressing for fp memory refs */
1793
1794 #define PRINT_OPERAND(FILE, X, CODE) print_operand (FILE, X, CODE)
1795
1796 \f
1797 /* Print a memory address as an operand to reference that memory location.  */
1798
1799 #define PRINT_OPERAND_ADDRESS(FILE, ADDR)  \
1800 { register rtx addr = ADDR;                                             \
1801   register rtx base;                                                    \
1802   int offset;                                                           \
1803   switch (GET_CODE (addr))                                              \
1804     {                                                                   \
1805     case REG:                                                           \
1806       fprintf (FILE, "0(%s)", reg_names [REGNO (addr)]);                \
1807       break;                                                            \
1808     case PLUS:                                                          \
1809       if (GET_CODE (XEXP (addr, 0)) == CONST_INT)                       \
1810         offset = INTVAL (XEXP (addr, 0)), base = XEXP (addr, 1);        \
1811       else if (GET_CODE (XEXP (addr, 1)) == CONST_INT)                  \
1812         offset = INTVAL (XEXP (addr, 1)), base = XEXP (addr, 0);        \
1813       else                                                              \
1814         abort ();                                                       \
1815       fprintf (FILE, "%d(%s)", offset, reg_names [REGNO (base)]);       \
1816       break;                                                            \
1817     case LO_SUM:                                                        \
1818       if (!symbolic_operand (XEXP (addr, 1), VOIDmode))                 \
1819         fputs ("R'", FILE);                                             \
1820       else if (flag_pic == 0)                                           \
1821         fputs ("RR'", FILE);                                            \
1822       else                                                              \
1823         fputs ("RT'", FILE);                                            \
1824       output_global_address (FILE, XEXP (addr, 1), 0);                  \
1825       fputs ("(", FILE);                                                \
1826       output_operand (XEXP (addr, 0), 0);                               \
1827       fputs (")", FILE);                                                \
1828       break;                                                            \
1829     case CONST_INT:                                                     \
1830       fprintf (FILE, HOST_WIDE_INT_PRINT_DEC, INTVAL (addr));           \
1831       fprintf (FILE, "(%%r0)");                                         \
1832       break;                                                            \
1833     default:                                                            \
1834       output_addr_const (FILE, addr);                                   \
1835     }}
1836
1837 \f
1838 /* Find the return address associated with the frame given by
1839    FRAMEADDR.  */
1840 #define RETURN_ADDR_RTX(COUNT, FRAMEADDR)                                \
1841   (return_addr_rtx (COUNT, FRAMEADDR))
1842
1843 /* Used to mask out junk bits from the return address, such as
1844    processor state, interrupt status, condition codes and the like.  */
1845 #define MASK_RETURN_ADDR                                                \
1846   /* The privilege level is in the two low order bits, mask em out      \
1847      of the return address.  */                                         \
1848   (GEN_INT (-4))
1849
1850 /* The number of Pmode words for the setjmp buffer.  */
1851 #define JMP_BUF_SIZE 50
1852
1853 #define PREDICATE_CODES                                                 \
1854   {"reg_or_0_operand", {SUBREG, REG, CONST_INT}},                       \
1855   {"call_operand_address", {LABEL_REF, SYMBOL_REF, CONST_INT,           \
1856                             CONST_DOUBLE, CONST, HIGH, CONSTANT_P_RTX}}, \
1857   {"symbolic_operand", {SYMBOL_REF, LABEL_REF, CONST}},                 \
1858   {"symbolic_memory_operand", {SUBREG, MEM}},                           \
1859   {"reg_before_reload_operand", {REG, MEM}},                            \
1860   {"reg_or_nonsymb_mem_operand", {SUBREG, REG, MEM}},                   \
1861   {"reg_or_0_or_nonsymb_mem_operand", {SUBREG, REG, MEM, CONST_INT,     \
1862                                        CONST_DOUBLE}},                  \
1863   {"move_operand", {SUBREG, REG, CONSTANT_P_RTX, CONST_INT, MEM}},      \
1864   {"reg_or_cint_move_operand", {SUBREG, REG, CONST_INT}},               \
1865   {"pic_label_operand", {LABEL_REF, CONST}},                            \
1866   {"fp_reg_operand", {REG}},                                            \
1867   {"arith_operand", {SUBREG, REG, CONST_INT}},                          \
1868   {"arith11_operand", {SUBREG, REG, CONST_INT}},                        \
1869   {"pre_cint_operand", {CONST_INT}},                                    \
1870   {"post_cint_operand", {CONST_INT}},                                   \
1871   {"arith_double_operand", {SUBREG, REG, CONST_DOUBLE}},                \
1872   {"ireg_or_int5_operand", {CONST_INT, REG}},                           \
1873   {"int5_operand", {CONST_INT}},                                        \
1874   {"uint5_operand", {CONST_INT}},                                       \
1875   {"int11_operand", {CONST_INT}},                                       \
1876   {"uint32_operand", {CONST_INT,                                        \
1877    HOST_BITS_PER_WIDE_INT > 32 ? 0 : CONST_DOUBLE}},                    \
1878   {"arith5_operand", {SUBREG, REG, CONST_INT}},                         \
1879   {"and_operand", {SUBREG, REG, CONST_INT}},                            \
1880   {"ior_operand", {CONST_INT}},                                         \
1881   {"lhs_lshift_cint_operand", {CONST_INT}},                             \
1882   {"lhs_lshift_operand", {SUBREG, REG, CONST_INT}},                     \
1883   {"arith32_operand", {SUBREG, REG, CONST_INT}},                        \
1884   {"pc_or_label_operand", {PC, LABEL_REF}},                             \
1885   {"plus_xor_ior_operator", {PLUS, XOR, IOR}},                          \
1886   {"shadd_operand", {CONST_INT}},                                       \
1887   {"basereg_operand", {REG}},                                           \
1888   {"div_operand", {REG, CONST_INT}},                                    \
1889   {"ireg_operand", {REG}},                                              \
1890   {"cmpib_comparison_operator", {EQ, NE, LT, LE, LEU,                   \
1891    GT, GTU, GE}},                                                       \
1892   {"movb_comparison_operator", {EQ, NE, LT, GE}},
1893
1894 /* We need a libcall to canonicalize function pointers on TARGET_ELF32.  */
1895 #define CANONICALIZE_FUNCPTR_FOR_COMPARE_LIBCALL \
1896   "__canonicalize_funcptr_for_compare"