OSDN Git Service

d69e67b7eba25c00ff536dcd9151c82eaa9e0e1e
[pf3gnuchains/gcc-fork.git] / gcc / config / avr / avr.h
1 /* Definitions of target machine for GNU compiler,
2    for ATMEL AVR at90s8515, ATmega103/103L, ATmega603/603L microcontrollers.
3    Copyright (C) 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005
4    Free Software Foundation, Inc.
5    Contributed by Denis Chertykov (denisc@overta.ru)
6
7 This file is part of GCC.
8
9 GCC is free software; you can redistribute it and/or modify
10 it under the terms of the GNU General Public License as published by
11 the Free Software Foundation; either version 2, or (at your option)
12 any later version.
13
14 GCC is distributed in the hope that it will be useful,
15 but WITHOUT ANY WARRANTY; without even the implied warranty of
16 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
17 GNU General Public License for more details.
18
19 You should have received a copy of the GNU General Public License
20 along with GCC; see the file COPYING.  If not, write to
21 the Free Software Foundation, 51 Franklin Street, Fifth Floor,
22 Boston, MA 02110-1301, USA.  */
23
24 /* Names to predefine in the preprocessor for this target machine.  */
25
26 #define TARGET_CPU_CPP_BUILTINS()               \
27   do                                            \
28     {                                           \
29       builtin_define_std ("AVR");               \
30       if (avr_base_arch_macro)                  \
31         builtin_define (avr_base_arch_macro);   \
32       if (avr_extra_arch_macro)                 \
33         builtin_define (avr_extra_arch_macro);  \
34       if (avr_asm_only_p)                       \
35         builtin_define ("__AVR_ASM_ONLY__");    \
36       if (avr_enhanced_p)                       \
37         builtin_define ("__AVR_ENHANCED__");    \
38       if (avr_mega_p)                           \
39         builtin_define ("__AVR_MEGA__");        \
40       if (TARGET_NO_INTERRUPTS)                 \
41         builtin_define ("__NO_INTERRUPTS__");   \
42     }                                           \
43   while (0)
44
45 extern const char *avr_base_arch_macro;
46 extern const char *avr_extra_arch_macro;
47 extern int avr_mega_p;
48 extern int avr_enhanced_p;
49 extern int avr_asm_only_p;
50 extern GTY(()) section *progmem_section;
51
52 #define AVR_MEGA (avr_mega_p && !TARGET_SHORT_CALLS)
53 #define AVR_ENHANCED (avr_enhanced_p)
54
55 #define TARGET_VERSION fprintf (stderr, " (GNU assembler syntax)");
56
57 #define OVERRIDE_OPTIONS avr_override_options ()
58
59 #define CAN_DEBUG_WITHOUT_FP
60
61 #define BITS_BIG_ENDIAN 0
62 #define BYTES_BIG_ENDIAN 0
63 #define WORDS_BIG_ENDIAN 0
64
65 #ifdef IN_LIBGCC2
66 /* This is to get correct SI and DI modes in libgcc2.c (32 and 64 bits).  */
67 #define UNITS_PER_WORD 4
68 #else
69 /* Width of a word, in units (bytes).  */
70 #define UNITS_PER_WORD 1
71 #endif
72
73 #define POINTER_SIZE 16
74
75
76 /* Maximum sized of reasonable data type
77    DImode or Dfmode ...  */
78 #define MAX_FIXED_MODE_SIZE 32
79
80 #define PARM_BOUNDARY 8
81
82 #define FUNCTION_BOUNDARY 8
83
84 #define EMPTY_FIELD_BOUNDARY 8
85
86 /* No data type wants to be aligned rounder than this.  */
87 #define BIGGEST_ALIGNMENT 8
88
89
90 #define STRICT_ALIGNMENT 0
91
92 #define INT_TYPE_SIZE (TARGET_INT8 ? 8 : 16)
93 #define SHORT_TYPE_SIZE (INT_TYPE_SIZE == 8 ? INT_TYPE_SIZE : 16)
94 #define LONG_TYPE_SIZE (INT_TYPE_SIZE == 8 ? 16 : 32)
95 #define LONG_LONG_TYPE_SIZE (INT_TYPE_SIZE == 8 ? 32 : 64)
96 #define FLOAT_TYPE_SIZE 32
97 #define DOUBLE_TYPE_SIZE 32
98 #define LONG_DOUBLE_TYPE_SIZE 32
99
100 #define DEFAULT_SIGNED_CHAR 1
101
102 #define SIZE_TYPE (INT_TYPE_SIZE == 8 ? "long unsigned int" : "unsigned int")
103 #define PTRDIFF_TYPE (INT_TYPE_SIZE == 8 ? "long int" :"int")
104
105 #define WCHAR_TYPE_SIZE 16
106
107 #define FIRST_PSEUDO_REGISTER 36
108
109 #define FIXED_REGISTERS {\
110   1,1,/* r0 r1 */\
111   0,0,/* r2 r3 */\
112   0,0,/* r4 r5 */\
113   0,0,/* r6 r7 */\
114   0,0,/* r8 r9 */\
115   0,0,/* r10 r11 */\
116   0,0,/* r12 r13 */\
117   0,0,/* r14 r15 */\
118   0,0,/* r16 r17 */\
119   0,0,/* r18 r19 */\
120   0,0,/* r20 r21 */\
121   0,0,/* r22 r23 */\
122   0,0,/* r24 r25 */\
123   0,0,/* r26 r27 */\
124   0,0,/* r28 r29 */\
125   0,0,/* r30 r31 */\
126   1,1,/*  STACK */\
127   1,1 /* arg pointer */  }
128
129 #define CALL_USED_REGISTERS {                   \
130   1,1,/* r0 r1 */                               \
131     0,0,/* r2 r3 */                             \
132     0,0,/* r4 r5 */                             \
133     0,0,/* r6 r7 */                             \
134     0,0,/* r8 r9 */                             \
135     0,0,/* r10 r11 */                           \
136     0,0,/* r12 r13 */                           \
137     0,0,/* r14 r15 */                           \
138     0,0,/* r16 r17 */                           \
139     1,1,/* r18 r19 */                           \
140     1,1,/* r20 r21 */                           \
141     1,1,/* r22 r23 */                           \
142     1,1,/* r24 r25 */                           \
143     1,1,/* r26 r27 */                           \
144     0,0,/* r28 r29 */                           \
145     1,1,/* r30 r31 */                           \
146     1,1,/*  STACK */                            \
147     1,1 /* arg pointer */  }
148
149 #define REG_ALLOC_ORDER {                       \
150     24,25,                                      \
151     18,19,                                      \
152     20,21,                                      \
153     22,23,                                      \
154     30,31,                                      \
155     26,27,                                      \
156     28,29,                                      \
157     17,16,15,14,13,12,11,10,9,8,7,6,5,4,3,2,    \
158     0,1,                                        \
159     32,33,34,35                                 \
160     }
161
162 #define ORDER_REGS_FOR_LOCAL_ALLOC order_regs_for_local_alloc ()
163
164
165 #define HARD_REGNO_NREGS(REGNO, MODE) ((GET_MODE_SIZE (MODE) + UNITS_PER_WORD - 1) / UNITS_PER_WORD)
166
167 #define HARD_REGNO_MODE_OK(REGNO, MODE) avr_hard_regno_mode_ok(REGNO, MODE)
168
169 #define MODES_TIEABLE_P(MODE1, MODE2) 1
170
171 enum reg_class {
172   NO_REGS,
173   R0_REG,                       /* r0 */
174   POINTER_X_REGS,               /* r26 - r27 */
175   POINTER_Y_REGS,               /* r28 - r29 */
176   POINTER_Z_REGS,               /* r30 - r31 */
177   STACK_REG,                    /* STACK */
178   BASE_POINTER_REGS,            /* r28 - r31 */
179   POINTER_REGS,                 /* r26 - r31 */
180   ADDW_REGS,                    /* r24 - r31 */
181   SIMPLE_LD_REGS,               /* r16 - r23 */
182   LD_REGS,                      /* r16 - r31 */
183   NO_LD_REGS,                   /* r0 - r15 */
184   GENERAL_REGS,                 /* r0 - r31 */
185   ALL_REGS, LIM_REG_CLASSES
186 };
187
188
189 #define N_REG_CLASSES (int)LIM_REG_CLASSES
190
191 #define REG_CLASS_NAMES {                                       \
192                  "NO_REGS",                                     \
193                    "R0_REG",    /* r0 */                        \
194                    "POINTER_X_REGS", /* r26 - r27 */            \
195                    "POINTER_Y_REGS", /* r28 - r29 */            \
196                    "POINTER_Z_REGS", /* r30 - r31 */            \
197                    "STACK_REG", /* STACK */                     \
198                    "BASE_POINTER_REGS", /* r28 - r31 */         \
199                    "POINTER_REGS", /* r26 - r31 */              \
200                    "ADDW_REGS", /* r24 - r31 */                 \
201                    "SIMPLE_LD_REGS", /* r16 - r23 */            \
202                    "LD_REGS",   /* r16 - r31 */                 \
203                    "NO_LD_REGS", /* r0 - r15 */                 \
204                    "GENERAL_REGS", /* r0 - r31 */               \
205                    "ALL_REGS" }
206
207 #define REG_X 26
208 #define REG_Y 28
209 #define REG_Z 30
210 #define REG_W 24
211
212 #define REG_CLASS_CONTENTS {                                            \
213   {0x00000000,0x00000000},      /* NO_REGS */                           \
214   {0x00000001,0x00000000},      /* R0_REG */                            \
215   {3 << REG_X,0x00000000},      /* POINTER_X_REGS, r26 - r27 */         \
216   {3 << REG_Y,0x00000000},      /* POINTER_Y_REGS, r28 - r29 */         \
217   {3 << REG_Z,0x00000000},      /* POINTER_Z_REGS, r30 - r31 */         \
218   {0x00000000,0x00000003},      /* STACK_REG, STACK */                  \
219   {(3 << REG_Y) | (3 << REG_Z),                                         \
220      0x00000000},               /* BASE_POINTER_REGS, r28 - r31 */      \
221   {(3 << REG_X) | (3 << REG_Y) | (3 << REG_Z),                          \
222      0x00000000},               /* POINTER_REGS, r26 - r31 */           \
223   {(3 << REG_X) | (3 << REG_Y) | (3 << REG_Z) | (3 << REG_W),           \
224      0x00000000},               /* ADDW_REGS, r24 - r31 */              \
225   {0x00ff0000,0x00000000},      /* SIMPLE_LD_REGS r16 - r23 */          \
226   {(3 << REG_X)|(3 << REG_Y)|(3 << REG_Z)|(3 << REG_W)|(0xff << 16),    \
227      0x00000000},       /* LD_REGS, r16 - r31 */                        \
228   {0x0000ffff,0x00000000},      /* NO_LD_REGS  r0 - r15 */              \
229   {0xffffffff,0x00000000},      /* GENERAL_REGS, r0 - r31 */            \
230   {0xffffffff,0x00000003}       /* ALL_REGS */                          \
231 }
232
233 #define REGNO_REG_CLASS(R) avr_regno_reg_class(R)
234
235 #define BASE_REG_CLASS (reload_completed ? BASE_POINTER_REGS : POINTER_REGS)
236
237 #define INDEX_REG_CLASS NO_REGS
238
239 #define REG_CLASS_FROM_LETTER(C) avr_reg_class_from_letter(C)
240
241 #define REGNO_OK_FOR_BASE_P(r) (((r) < FIRST_PSEUDO_REGISTER            \
242                                  && ((r) == REG_X                       \
243                                      || (r) == REG_Y                    \
244                                      || (r) == REG_Z                    \
245                                      || (r) == ARG_POINTER_REGNUM))     \
246                                 || (reg_renumber                        \
247                                     && (reg_renumber[r] == REG_X        \
248                                         || reg_renumber[r] == REG_Y     \
249                                         || reg_renumber[r] == REG_Z     \
250                                         || (reg_renumber[r]             \
251                                             == ARG_POINTER_REGNUM))))
252
253 #define REGNO_OK_FOR_INDEX_P(NUM) 0
254
255 #define PREFERRED_RELOAD_CLASS(X, CLASS) preferred_reload_class(X,CLASS)
256
257 #define SMALL_REGISTER_CLASSES 1
258
259 #define CLASS_LIKELY_SPILLED_P(c) class_likely_spilled_p(c)
260
261 #define CLASS_MAX_NREGS(CLASS, MODE)   class_max_nregs (CLASS, MODE)
262
263 #define CONST_OK_FOR_LETTER_P(VALUE, C)                         \
264   ((C) == 'I' ? (VALUE) >= 0 && (VALUE) <= 63 :                 \
265    (C) == 'J' ? (VALUE) <= 0 && (VALUE) >= -63:                 \
266    (C) == 'K' ? (VALUE) == 2 :                                  \
267    (C) == 'L' ? (VALUE) == 0 :                                  \
268    (C) == 'M' ? (VALUE) >= 0 && (VALUE) <= 0xff :               \
269    (C) == 'N' ? (VALUE) == -1:                                  \
270    (C) == 'O' ? (VALUE) == 8 || (VALUE) == 16 || (VALUE) == 24: \
271    (C) == 'P' ? (VALUE) == 1 :                                  \
272    0)
273
274 #define CONST_DOUBLE_OK_FOR_LETTER_P(VALUE, C) \
275   ((C) == 'G' ? (VALUE) == CONST0_RTX (SFmode)  \
276    : 0)
277
278 #define EXTRA_CONSTRAINT(x, c) extra_constraint(x, c)
279
280 #define STACK_PUSH_CODE POST_DEC
281
282 #define STACK_GROWS_DOWNWARD
283
284 #define STARTING_FRAME_OFFSET 1
285
286 #define STACK_POINTER_OFFSET 1
287
288 #define FIRST_PARM_OFFSET(FUNDECL) 0
289
290 #define STACK_BOUNDARY 8
291
292 #define STACK_POINTER_REGNUM 32
293
294 #define FRAME_POINTER_REGNUM REG_Y
295
296 #define ARG_POINTER_REGNUM 34
297
298 #define STATIC_CHAIN_REGNUM 2
299
300 #define FRAME_POINTER_REQUIRED frame_pointer_required_p()
301
302 #define ELIMINABLE_REGS {                                       \
303       {ARG_POINTER_REGNUM, FRAME_POINTER_REGNUM},               \
304         {FRAME_POINTER_REGNUM, STACK_POINTER_REGNUM}            \
305        ,{FRAME_POINTER_REGNUM+1,STACK_POINTER_REGNUM+1}}
306
307 #define CAN_ELIMINATE(FROM, TO) (((FROM) == ARG_POINTER_REGNUM             \
308                                   && (TO) == FRAME_POINTER_REGNUM)         \
309                                  || (((FROM) == FRAME_POINTER_REGNUM       \
310                                       || (FROM) == FRAME_POINTER_REGNUM+1) \
311                                      && ! FRAME_POINTER_REQUIRED           \
312                                      ))
313
314 #define INITIAL_ELIMINATION_OFFSET(FROM, TO, OFFSET)                    \
315      OFFSET = initial_elimination_offset (FROM, TO)
316
317 #define RETURN_ADDR_RTX(count, x) \
318   gen_rtx_MEM (Pmode, memory_address (Pmode, plus_constant (tem, 1)))
319
320 #define PUSH_ROUNDING(NPUSHED) (NPUSHED)
321
322 #define RETURN_POPS_ARGS(FUNDECL, FUNTYPE, STACK_SIZE) 0
323
324 #define FUNCTION_ARG(CUM, MODE, TYPE, NAMED) (function_arg (&(CUM), MODE, TYPE, NAMED))
325
326 typedef struct avr_args {
327   int nregs;                    /* # registers available for passing */
328   int regno;                    /* next available register number */
329 } CUMULATIVE_ARGS;
330
331 #define INIT_CUMULATIVE_ARGS(CUM, FNTYPE, LIBNAME, FNDECL, N_NAMED_ARGS) \
332   init_cumulative_args (&(CUM), FNTYPE, LIBNAME, FNDECL)
333
334 #define FUNCTION_ARG_ADVANCE(CUM, MODE, TYPE, NAMED)    \
335   (function_arg_advance (&CUM, MODE, TYPE, NAMED))
336
337 #define FUNCTION_ARG_REGNO_P(r) function_arg_regno_p(r)
338
339 extern int avr_reg_order[];
340
341 #define RET_REGISTER avr_ret_register ()
342
343 #define FUNCTION_VALUE(VALTYPE, FUNC) avr_function_value (VALTYPE, FUNC)
344
345 #define LIBCALL_VALUE(MODE)  avr_libcall_value (MODE)
346
347 #define FUNCTION_VALUE_REGNO_P(N) ((int) (N) == RET_REGISTER)
348
349 #define DEFAULT_PCC_STRUCT_RETURN 0
350
351 #define EPILOGUE_USES(REGNO) 0
352
353 #define HAVE_POST_INCREMENT 1
354 #define HAVE_PRE_DECREMENT 1
355
356 #define CONSTANT_ADDRESS_P(X) CONSTANT_P (X)
357
358 #define MAX_REGS_PER_ADDRESS 1
359
360 #ifdef REG_OK_STRICT
361 #  define GO_IF_LEGITIMATE_ADDRESS(mode, operand, ADDR) \
362 {                                                       \
363   if (legitimate_address_p (mode, operand, 1))          \
364     goto ADDR;                                          \
365 }
366 #  else
367 #  define GO_IF_LEGITIMATE_ADDRESS(mode, operand, ADDR) \
368 {                                                       \
369   if (legitimate_address_p (mode, operand, 0))          \
370     goto ADDR;                                          \
371 }
372 #endif
373
374 #define REG_OK_FOR_BASE_NOSTRICT_P(X) \
375   (REGNO (X) >= FIRST_PSEUDO_REGISTER || REG_OK_FOR_BASE_STRICT_P(X))
376
377 #define REG_OK_FOR_BASE_STRICT_P(X) REGNO_OK_FOR_BASE_P (REGNO (X))
378
379 #ifdef REG_OK_STRICT
380 #  define REG_OK_FOR_BASE_P(X) REG_OK_FOR_BASE_STRICT_P (X)
381 #else
382 #  define REG_OK_FOR_BASE_P(X) REG_OK_FOR_BASE_NOSTRICT_P (X)
383 #endif
384
385 #define REG_OK_FOR_INDEX_P(X) 0
386
387 #define LEGITIMIZE_ADDRESS(X, OLDX, MODE, WIN)                          \
388 {                                                                       \
389   (X) = legitimize_address (X, OLDX, MODE);                             \
390   if (memory_address_p (MODE, X))                                       \
391     goto WIN;                                                           \
392 }
393
394 #define XEXP_(X,Y) (X)
395 #define LEGITIMIZE_RELOAD_ADDRESS(X, MODE, OPNUM, TYPE, IND_LEVELS, WIN)    \
396 do {                                                                        \
397   if (1&&(GET_CODE (X) == POST_INC || GET_CODE (X) == PRE_DEC))     \
398     {                                                                       \
399       push_reload (XEXP (X,0), XEXP (X,0), &XEXP (X,0), &XEXP (X,0),        \
400                    POINTER_REGS, GET_MODE (X),GET_MODE (X) , 0, 0,          \
401                    OPNUM, RELOAD_OTHER);                                    \
402       goto WIN;                                                             \
403     }                                                                       \
404   if (GET_CODE (X) == PLUS                                                  \
405       && REG_P (XEXP (X, 0))                                                \
406       && GET_CODE (XEXP (X, 1)) == CONST_INT                                \
407       && INTVAL (XEXP (X, 1)) >= 1)                                         \
408     {                                                                       \
409       int fit = INTVAL (XEXP (X, 1)) <= (64 - GET_MODE_SIZE (MODE));        \
410       if (fit)                                                              \
411         {                                                                   \
412           if (reg_equiv_address[REGNO (XEXP (X, 0))] != 0)                  \
413             {                                                               \
414               int regno = REGNO (XEXP (X, 0));                              \
415               rtx mem = make_memloc (X, regno);                             \
416               push_reload (XEXP (mem,0), NULL, &XEXP (mem,0), NULL,         \
417                            POINTER_REGS, Pmode, VOIDmode, 0, 0,             \
418                            1, ADDR_TYPE (TYPE));                            \
419               push_reload (mem, NULL_RTX, &XEXP (X, 0), NULL,               \
420                            BASE_POINTER_REGS, GET_MODE (X), VOIDmode, 0, 0, \
421                            OPNUM, TYPE);                                    \
422               goto WIN;                                                     \
423             }                                                               \
424           push_reload (XEXP (X, 0), NULL_RTX, &XEXP (X, 0), NULL,           \
425                        BASE_POINTER_REGS, GET_MODE (X), VOIDmode, 0, 0,     \
426                        OPNUM, TYPE);                                        \
427           goto WIN;                                                         \
428         }                                                                   \
429       else if (! (frame_pointer_needed && XEXP (X,0) == frame_pointer_rtx)) \
430         {                                                                   \
431           push_reload (X, NULL_RTX, &X, NULL,                               \
432                        POINTER_REGS, GET_MODE (X), VOIDmode, 0, 0,          \
433                        OPNUM, TYPE);                                        \
434           goto WIN;                                                         \
435         }                                                                   \
436     }                                                                       \
437 } while(0)
438
439 #define GO_IF_MODE_DEPENDENT_ADDRESS(ADDR,LABEL)                        \
440       if (GET_CODE (ADDR) == POST_INC || GET_CODE (ADDR) == PRE_DEC)    \
441         goto LABEL
442
443 #define LEGITIMATE_CONSTANT_P(X) 1
444
445 #define REGISTER_MOVE_COST(MODE, FROM, TO) ((FROM) == STACK_REG ? 6 \
446                                             : (TO) == STACK_REG ? 12 \
447                                             : 2)
448
449 #define MEMORY_MOVE_COST(MODE,CLASS,IN) ((MODE)==QImode ? 2 :   \
450                                          (MODE)==HImode ? 4 :   \
451                                          (MODE)==SImode ? 8 :   \
452                                          (MODE)==SFmode ? 8 : 16)
453
454 #define BRANCH_COST 0
455
456 #define SLOW_BYTE_ACCESS 0
457
458 #define NO_FUNCTION_CSE
459
460 #define TEXT_SECTION_ASM_OP "\t.text"
461
462 #define DATA_SECTION_ASM_OP "\t.data"
463
464 #define BSS_SECTION_ASM_OP "\t.section .bss"
465
466 /* Define the pseudo-ops used to switch to the .ctors and .dtors sections.
467    There are no shared libraries on this target, and these sections are
468    placed in the read-only program memory, so they are not writable.  */
469
470 #undef CTORS_SECTION_ASM_OP
471 #define CTORS_SECTION_ASM_OP "\t.section .ctors,\"a\",@progbits"
472
473 #undef DTORS_SECTION_ASM_OP
474 #define DTORS_SECTION_ASM_OP "\t.section .dtors,\"a\",@progbits"
475
476 #define TARGET_ASM_CONSTRUCTOR avr_asm_out_ctor
477
478 #define TARGET_ASM_DESTRUCTOR avr_asm_out_dtor
479
480 #define JUMP_TABLES_IN_TEXT_SECTION 0
481
482 #define ASM_COMMENT_START " ; "
483
484 #define ASM_APP_ON "/* #APP */\n"
485
486 #define ASM_APP_OFF "/* #NOAPP */\n"
487
488 /* Switch into a generic section.  */
489 #define TARGET_ASM_NAMED_SECTION default_elf_asm_named_section
490 #define TARGET_ASM_INIT_SECTIONS avr_asm_init_sections
491
492 #define ASM_OUTPUT_ASCII(FILE, P, SIZE)  gas_output_ascii (FILE,P,SIZE)
493
494 #define IS_ASM_LOGICAL_LINE_SEPARATOR(C) ((C) == '\n'                    \
495                                           || ((C) == '$'))
496
497 #define ASM_OUTPUT_COMMON(STREAM, NAME, SIZE, ROUNDED)                     \
498 do {                                                                       \
499      fputs ("\t.comm ", (STREAM));                                         \
500      assemble_name ((STREAM), (NAME));                                     \
501      fprintf ((STREAM), ",%lu,1\n", (unsigned long)(SIZE));                \
502 } while (0)
503
504 #define ASM_OUTPUT_BSS(FILE, DECL, NAME, SIZE, ROUNDED)                 \
505   asm_output_bss ((FILE), (DECL), (NAME), (SIZE), (ROUNDED))
506
507 #define ASM_OUTPUT_LOCAL(STREAM, NAME, SIZE, ROUNDED)                   \
508 do {                                                                    \
509      fputs ("\t.lcomm ", (STREAM));                                     \
510      assemble_name ((STREAM), (NAME));                                  \
511      fprintf ((STREAM), ",%d\n", (int)(SIZE));                          \
512 } while (0)
513
514 #undef TYPE_ASM_OP
515 #undef SIZE_ASM_OP
516 #undef WEAK_ASM_OP
517 #define TYPE_ASM_OP     "\t.type\t"
518 #define SIZE_ASM_OP     "\t.size\t"
519 #define WEAK_ASM_OP     "\t.weak\t"
520 /* Define the strings used for the special svr4 .type and .size directives.
521    These strings generally do not vary from one system running svr4 to
522    another, but if a given system (e.g. m88k running svr) needs to use
523    different pseudo-op names for these, they may be overridden in the
524    file which includes this one.  */
525
526
527 #undef TYPE_OPERAND_FMT
528 #define TYPE_OPERAND_FMT        "@%s"
529 /* The following macro defines the format used to output the second
530    operand of the .type assembler directive.  Different svr4 assemblers
531    expect various different forms for this operand.  The one given here
532    is just a default.  You may need to override it in your machine-
533    specific tm.h file (depending upon the particulars of your assembler).  */
534
535 #define ASM_DECLARE_FUNCTION_NAME(FILE, NAME, DECL)             \
536 do {                                                            \
537      ASM_OUTPUT_TYPE_DIRECTIVE (FILE, NAME, "function");        \
538      ASM_OUTPUT_LABEL (FILE, NAME);                             \
539 } while (0)
540
541 #define ASM_DECLARE_FUNCTION_SIZE(FILE, FNAME, DECL)                    \
542   do {                                                                  \
543     if (!flag_inhibit_size_directive)                                   \
544       ASM_OUTPUT_MEASURED_SIZE (FILE, FNAME);                           \
545   } while (0)
546
547 #define ASM_DECLARE_OBJECT_NAME(FILE, NAME, DECL)                       \
548 do {                                                                    \
549   ASM_OUTPUT_TYPE_DIRECTIVE (FILE, NAME, "object");                     \
550   size_directive_output = 0;                                            \
551   if (!flag_inhibit_size_directive && DECL_SIZE (DECL))                 \
552     {                                                                   \
553       size_directive_output = 1;                                        \
554       ASM_OUTPUT_SIZE_DIRECTIVE (FILE, NAME,                            \
555                                  int_size_in_bytes (TREE_TYPE (DECL))); \
556     }                                                                   \
557   ASM_OUTPUT_LABEL(FILE, NAME);                                         \
558 } while (0)
559
560 #undef ASM_FINISH_DECLARE_OBJECT
561 #define ASM_FINISH_DECLARE_OBJECT(FILE, DECL, TOP_LEVEL, AT_END)         \
562 do {                                                                     \
563      const char *name = XSTR (XEXP (DECL_RTL (DECL), 0), 0);             \
564      HOST_WIDE_INT size;                                                 \
565      if (!flag_inhibit_size_directive && DECL_SIZE (DECL)                \
566          && ! AT_END && TOP_LEVEL                                        \
567          && DECL_INITIAL (DECL) == error_mark_node                       \
568          && !size_directive_output)                                      \
569        {                                                                 \
570          size_directive_output = 1;                                      \
571          size = int_size_in_bytes (TREE_TYPE (DECL));                    \
572          ASM_OUTPUT_SIZE_DIRECTIVE (FILE, name, size);                   \
573        }                                                                 \
574    } while (0)
575
576
577 #define ESCAPES \
578 "\1\1\1\1\1\1\1\1btn\1fr\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\
579 \0\0\"\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\
580 \0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\\\0\0\0\
581 \0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\1\
582 \1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\
583 \1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\
584 \1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\
585 \1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1\1"
586 /* A table of bytes codes used by the ASM_OUTPUT_ASCII and
587    ASM_OUTPUT_LIMITED_STRING macros.  Each byte in the table
588    corresponds to a particular byte value [0..255].  For any
589    given byte value, if the value in the corresponding table
590    position is zero, the given character can be output directly.
591    If the table value is 1, the byte must be output as a \ooo
592    octal escape.  If the tables value is anything else, then the
593    byte value should be output as a \ followed by the value
594    in the table.  Note that we can use standard UN*X escape
595    sequences for many control characters, but we don't use
596    \a to represent BEL because some svr4 assemblers (e.g. on
597    the i386) don't know about that.  Also, we don't use \v
598    since some versions of gas, such as 2.2 did not accept it.  */
599
600 #define STRING_LIMIT    ((unsigned) 64)
601 #define STRING_ASM_OP   "\t.string\t"
602 /* Some svr4 assemblers have a limit on the number of characters which
603    can appear in the operand of a .string directive.  If your assembler
604    has such a limitation, you should define STRING_LIMIT to reflect that
605    limit.  Note that at least some svr4 assemblers have a limit on the
606    actual number of bytes in the double-quoted string, and that they
607    count each character in an escape sequence as one byte.  Thus, an
608    escape sequence like \377 would count as four bytes.
609
610    If your target assembler doesn't support the .string directive, you
611    should define this to zero.  */
612
613 /* Globalizing directive for a label.  */
614 #define GLOBAL_ASM_OP ".global\t"
615
616 #define ASM_WEAKEN_LABEL(FILE, NAME)    \
617   do                                    \
618     {                                   \
619       fputs ("\t.weak\t", (FILE));      \
620       assemble_name ((FILE), (NAME));   \
621       fputc ('\n', (FILE));             \
622     }                                   \
623   while (0)
624
625 #define SUPPORTS_WEAK 1
626
627 #define ASM_GENERATE_INTERNAL_LABEL(STRING, PREFIX, NUM)        \
628 sprintf (STRING, "*.%s%lu", PREFIX, (unsigned long)(NUM))
629
630 #define HAS_INIT_SECTION 1
631
632 #define REGISTER_NAMES {                                \
633   "r0","r1","r2","r3","r4","r5","r6","r7",              \
634     "r8","r9","r10","r11","r12","r13","r14","r15",      \
635     "r16","r17","r18","r19","r20","r21","r22","r23",    \
636     "r24","r25","r26","r27","r28","r29","r30","r31",    \
637     "__SPL__","__SPH__","argL","argH"}
638
639 #define FINAL_PRESCAN_INSN(insn, operand, nop) final_prescan_insn (insn, operand,nop)
640
641 #define PRINT_OPERAND(STREAM, X, CODE) print_operand (STREAM, X, CODE)
642
643 #define PRINT_OPERAND_PUNCT_VALID_P(CODE) ((CODE) == '~')
644
645 #define PRINT_OPERAND_ADDRESS(STREAM, X) print_operand_address(STREAM, X)
646
647 #define USER_LABEL_PREFIX ""
648
649 #define ASSEMBLER_DIALECT AVR_ENHANCED
650
651 #define ASM_OUTPUT_REG_PUSH(STREAM, REGNO)      \
652 {                                               \
653   gcc_assert (REGNO < 32);                      \
654   fprintf (STREAM, "\tpush\tr%d", REGNO);       \
655 }
656
657 #define ASM_OUTPUT_REG_POP(STREAM, REGNO)       \
658 {                                               \
659   gcc_assert (REGNO < 32);                      \
660   fprintf (STREAM, "\tpop\tr%d", REGNO);        \
661 }
662
663 #define ASM_OUTPUT_ADDR_VEC_ELT(STREAM, VALUE)          \
664   avr_output_addr_vec_elt(STREAM, VALUE)
665
666 #define ASM_OUTPUT_CASE_LABEL(STREAM, PREFIX, NUM, TABLE) \
667   (switch_to_section (progmem_section), \
668    (*targetm.asm_out.internal_label) (STREAM, PREFIX, NUM))
669
670 #define ASM_OUTPUT_SKIP(STREAM, N)              \
671 fprintf (STREAM, "\t.skip %lu,0\n", (unsigned long)(N))
672
673 #define ASM_OUTPUT_ALIGN(STREAM, POWER)
674
675 #define CASE_VECTOR_MODE HImode
676
677 extern int avr_case_values_threshold;
678
679 #define CASE_VALUES_THRESHOLD avr_case_values_threshold
680
681 #undef WORD_REGISTER_OPERATIONS
682
683 #define MOVE_MAX 4
684
685 #define TRULY_NOOP_TRUNCATION(OUTPREC, INPREC) 1
686
687 #define Pmode HImode
688
689 #define FUNCTION_MODE HImode
690
691 #define DOLLARS_IN_IDENTIFIERS 0
692
693 #define NO_DOLLAR_IN_LABEL 1
694
695 #define TRAMPOLINE_TEMPLATE(FILE) \
696   internal_error ("trampolines not supported")
697
698 #define TRAMPOLINE_SIZE 4
699
700 #define INITIALIZE_TRAMPOLINE(TRAMP, FNADDR, CXT)                             \
701 {                                                                             \
702   emit_move_insn (gen_rtx_MEM (HImode, plus_constant ((TRAMP), 2)), CXT);    \
703   emit_move_insn (gen_rtx_MEM (HImode, plus_constant ((TRAMP), 6)), FNADDR); \
704 }
705 /* Store in cc_status the expressions
706    that the condition codes will describe
707    after execution of an instruction whose pattern is EXP.
708    Do not alter them if the instruction would not alter the cc's.  */
709
710 #define NOTICE_UPDATE_CC(EXP, INSN) notice_update_cc(EXP, INSN)
711
712 /* The add insns don't set overflow in a usable way.  */
713 #define CC_OVERFLOW_UNUSABLE 01000
714 /* The mov,and,or,xor insns don't set carry.  That's ok though as the
715    Z bit is all we need when doing unsigned comparisons on the result of
716    these insns (since they're always with 0).  However, conditions.h has
717    CC_NO_OVERFLOW defined for this purpose.  Rename it to something more
718    understandable.  */
719 #define CC_NO_CARRY CC_NO_OVERFLOW
720
721
722 /* Output assembler code to FILE to increment profiler label # LABELNO
723    for profiling a function entry.  */
724
725 #define FUNCTION_PROFILER(FILE, LABELNO)  \
726   fprintf (FILE, "/* profiler %d */", (LABELNO))
727
728 #define ADJUST_INSN_LENGTH(INSN, LENGTH) (LENGTH =\
729                                           adjust_insn_length (INSN, LENGTH))
730
731 #define CPP_SPEC "%{posix:-D_POSIX_SOURCE}"
732
733 #define CC1_SPEC "%{profile:-p}"
734
735 #define CC1PLUS_SPEC "%{!frtti:-fno-rtti} \
736     %{!fenforce-eh-specs:-fno-enforce-eh-specs} \
737     %{!fexceptions:-fno-exceptions}"
738 /* A C string constant that tells the GCC drvier program options to
739    pass to `cc1plus'.  */
740
741 #define ASM_SPEC "%{mmcu=*:-mmcu=%*}"
742
743 #define LINK_SPEC " %{!mmcu*:-m avr2}\
744 %{mmcu=at90s1200|mmcu=attiny11|mmcu=attiny12|mmcu=attiny15|mmcu=attiny28:-m avr1} \
745 %{mmcu=attiny22|mmcu=attiny26|mmcu=at90s2*|mmcu=at90s4*|mmcu=at90s8*|mmcu=at90c8*|mmcu=at86rf401|mmcu=attiny13|mmcu=attiny2313:-m avr2}\
746 %{mmcu=atmega103|mmcu=atmega603|mmcu=at43*|mmcu=at76*:-m avr3}\
747 %{mmcu=atmega8*|mmcu=atmega48:-m avr4}\
748 %{mmcu=atmega16*|mmcu=atmega32*|mmcu=atmega64*|mmcu=atmega128|mmcu=at90can128|mmcu=at94k:-m avr5}\
749 %{mmcu=atmega325|mmcu=atmega3250|mmcu=atmega48|mmcu=atmega88|mmcu=atmega64|mmcu=atmega645|mmcu=atmega6450|mmcu=atmega128|mmcu=at90can128|mmcu=at90can128|mmcu=atmega162|mmcu=atmega165|mmcu=atmega168|mmcu=atmega169: -Tdata 0x800100} "
750
751 #define LIB_SPEC \
752   "%{!mmcu=at90s1*:%{!mmcu=attiny11:%{!mmcu=attiny12:%{!mmcu=attiny15:%{!mmcu=attiny28: -lc }}}}}"
753
754 #define LIBSTDCXX "-lgcc"
755 /* No libstdc++ for now.  Empty string doesn't work.  */
756
757 #define LIBGCC_SPEC \
758   "%{!mmcu=at90s1*:%{!mmcu=attiny11:%{!mmcu=attiny12:%{!mmcu=attiny15:%{!mmcu=attiny28: -lgcc }}}}}"
759
760 #define STARTFILE_SPEC "%(crt_binutils)"
761
762 #define ENDFILE_SPEC ""
763
764 #define CRT_BINUTILS_SPECS "\
765 %{mmcu=at90s1200|mmcu=avr1:crts1200.o%s} \
766 %{mmcu=attiny11:crttn11.o%s} \
767 %{mmcu=attiny12:crttn12.o%s} \
768 %{mmcu=attiny15:crttn15.o%s} \
769 %{mmcu=attiny28:crttn28.o%s} \
770 %{!mmcu*|mmcu=at90s8515|mmcu=avr2:crts8515.o%s} \
771 %{mmcu=at90s2313:crts2313.o%s} \
772 %{mmcu=at90s2323:crts2323.o%s} \
773 %{mmcu=at90s2333:crts2333.o%s} \
774 %{mmcu=at90s2343:crts2343.o%s} \
775 %{mmcu=attiny22:crttn22.o%s} \
776 %{mmcu=attiny26:crttn26.o%s} \
777 %{mmcu=at90s4433:crts4433.o%s} \
778 %{mmcu=at90s4414:crts4414.o%s} \
779 %{mmcu=at90s4434:crts4434.o%s} \
780 %{mmcu=at90c8534:crtc8534.o%s} \
781 %{mmcu=at90s8535:crts8535.o%s} \
782 %{mmcu=at86rf401:crt86401.o%s} \
783 %{mmcu=attiny13:crttn13.o%s} \
784 %{mmcu=attiny2313:crttn2313.o%s} \
785 %{mmcu=atmega103|mmcu=avr3:crtm103.o%s} \
786 %{mmcu=atmega603:crtm603.o%s} \
787 %{mmcu=at43usb320:crt43320.o%s} \
788 %{mmcu=at43usb355:crt43355.o%s} \
789 %{mmcu=at76c711:crt76711.o%s} \
790 %{mmcu=atmega8|mmcu=avr4:crtm8.o%s} \
791 %{mmcu=atmega48:crtm48.o%s} \
792 %{mmcu=atmega88:crtm88.o%s} \
793 %{mmcu=atmega8515:crtm8515.o%s} \
794 %{mmcu=atmega8535:crtm8535.o%s} \
795 %{mmcu=atmega16:crtm16.o%s} \
796 %{mmcu=atmega161|mmcu=avr5:crtm161.o%s} \
797 %{mmcu=atmega162:crtm162.o%s} \
798 %{mmcu=atmega163:crtm163.o%s} \
799 %{mmcu=atmega165:crtm165.o%s} \
800 %{mmcu=atmega168:crtm168.o%s} \
801 %{mmcu=atmega169:crtm169.o%s} \
802 %{mmcu=atmega32:crtm32.o%s} \
803 %{mmcu=atmega323:crtm323.o%s} \
804 %{mmcu=atmega325:crtm325.o%s} \
805 %{mmcu=atmega3250:crtm3250.o%s} \
806 %{mmcu=atmega64:crtm64.o%s} \
807 %{mmcu=atmega645:crtm6450.o%s} \
808 %{mmcu=atmega6450:crtm6450.o%s} \
809 %{mmcu=atmega128:crtm128.o%s} \
810 %{mmcu=at90can128:crtcan128.o%s} \
811 %{mmcu=at94k:crtat94k.o%s}"
812
813 #define EXTRA_SPECS {"crt_binutils", CRT_BINUTILS_SPECS},
814
815 /* This is the default without any -mmcu=* option (AT90S*).  */
816 #define MULTILIB_DEFAULTS { "mmcu=avr2" }
817
818 /* This is undefined macro for collect2 disabling */
819 #define LINKER_NAME "ld"
820
821 #define TEST_HARD_REG_CLASS(CLASS, REGNO) \
822   TEST_HARD_REG_BIT (reg_class_contents[ (int) (CLASS)], REGNO)
823
824 /* Note that the other files fail to use these
825    in some of the places where they should.  */
826
827 #if defined(__STDC__) || defined(ALMOST_STDC)
828 #define AS2(a,b,c) #a " " #b "," #c
829 #define AS2C(b,c) " " #b "," #c
830 #define AS3(a,b,c,d) #a " " #b "," #c "," #d
831 #define AS1(a,b) #a " " #b
832 #else
833 #define AS1(a,b) "a     b"
834 #define AS2(a,b,c) "a   b,c"
835 #define AS2C(b,c) " b,c"
836 #define AS3(a,b,c,d) "a b,c,d"
837 #endif
838 #define OUT_AS1(a,b) output_asm_insn (AS1(a,b), operands)
839 #define OUT_AS2(a,b,c) output_asm_insn (AS2(a,b,c), operands)
840 #define CR_TAB "\n\t"
841
842 /* Temporary register r0 */
843 #define TMP_REGNO 0
844
845 /* zero register r1 */
846 #define ZERO_REGNO 1
847
848 #define PREFERRED_DEBUGGING_TYPE DBX_DEBUG